KR20150022711A - Cu 배선 구조의 형성 방법 - Google Patents

Cu 배선 구조의 형성 방법 Download PDF

Info

Publication number
KR20150022711A
KR20150022711A KR20140109056A KR20140109056A KR20150022711A KR 20150022711 A KR20150022711 A KR 20150022711A KR 20140109056 A KR20140109056 A KR 20140109056A KR 20140109056 A KR20140109056 A KR 20140109056A KR 20150022711 A KR20150022711 A KR 20150022711A
Authority
KR
South Korea
Prior art keywords
film
forming
alloy
wiring
layer
Prior art date
Application number
KR20140109056A
Other languages
English (en)
Inventor
다다히로 이시자카
겐지 스즈키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150022711A publication Critical patent/KR20150022711A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

배선 구조 전체의 유전율 상승을 억제할 수 있고, Cu 배선에 대한 산소 배리어 특성을 높게 할 수 있고, 캡막의 Cu막에 대한 선택성을 높게 할 수 있고, 또한, Cu 배선의 일렉트로마이그레이션 내성을 높게 할 수 있는 Cu 배선 구조의 형성 방법을 제공한다.
층간 절연막(202)에 형성된 트렌치(203)내에 적어도 배리어막(204)을 형성한 후, Cu-Al 합금으로 이루어지는 Cu 합금막(206)을 매립하고, 그 위에, 추가층(207)을 형성하고, CMP에 의해 전체면을 연마하여 트렌치(203)내에 Cu 배선(208)을 형성하고, Cu 배선(208) 상에 Ru막으로 이루어지는 캡층(209)을 형성하고, 그 때의 열에 의해, Cu 배선(208)의 캡층(209)과의 계면 근방에 Ru-Al 합금을 포함하는 계면층(210)을 형성하고, 그 위에 층간 절연막(211)을 형성한다.

Description

Cu 배선 구조의 형성 방법{COPPER WIRING STRUCTURE FORMING METHOD}
본 발명은, 기판상의 절연막에 형성된 오목부에 Cu 배선을 형성하고, 그 Cu 배선상에 캡층을 개재하여 상층의 절연막을 형성하는 Cu 배선 구조의 형성 방법에 관한 것이다.
반도체 디바이스의 제조에 있어서는, 반도체 웨이퍼에 성막 처리나 에칭 처리 등의 각종의 처리를 반복해서 행하여 소망하는 디바이스를 제조하지만, 최근, 반도체 디바이스의 고속화, 배선 패턴의 미세화, 고집적화의 요구에 대응하여, 배선의 저저항화(도전성 향상) 및 일렉트로마이그레이션 내성의 향상이 요구되고 있다.
이러한 점에 대응하여, 배선 재료에 알루미늄(Al)이나 텅스텐(W)보다도 도전성이 높고(저항이 낮고) 또한 일렉트로마이그레이션 내성이 우수한 구리(Cu)가 이용되도록 되어 오고 있다.
Cu 배선 구조의 형성 방법으로서는, 트렌치나 홀이 형성된 층간 절연막 전체에 탄탈 금속(Ta), 티탄(Ti), 탄탈질화막(TaN), 티탄질화막(TiN) 등으로 이루어지는 배리어막을 PVD인 플라즈마 스퍼터로 형성하고, 배리어막 상에 동일하게 플라즈마 스퍼터에 의해 Cu 시드막을 형성하고, 또한 그 위에 Cu 도금을 실시하여 트렌치나 홀을 완전하게 매립하고, 웨이퍼 표면의 여분의 구리 박막 및 배리어막을 CMP(Chemical Mechanical Polishing) 처리에 의해 연마 처리하여 제거해서 Cu 배선을 형성한다. 그 후, Cu 배선상에 SiCN, SiN 등의 유전체로 이루어지는 캡층을 형성한 후, 상층의 층간 절연막을 형성한다(예를 들면, 일본 특개 제2006-148075호 공보).
그러나, 반도체 디바이스의 디자인 룰(rule)이 한층 미세화하고 있고, 이에 따른 전류 밀도 상승에 따라, 배선 재료로서 Cu를 이용해도 일렉트로마이그레이션 내성이 충분하지 않게 되어 가고 있다. 특히, Cu 배선의 일렉트로마이그레이션 열화는, Cu 배선과 그 상층의 유전체 캡의 사이의 밀착 부족에 의해 생기기 쉽다.
이 때문에, 일렉트로마이그레이션 내성을 향상시켜, 새로운 배선의 신뢰성 향상을 도모하는 것을 목적으로 하는 기술로서, Cu 시드막 대신에 Cu 합금(Cu-Al, Cu-Mn, Cu-Mg, Cu-Ag, Cu-Sn, Cu-Pb, Cu-Zn, Cu-Pt, Cu-Au, Cu-Ni, Cu-Co 등)을 시드층에 이용한 배선 형성 프로세스가 제안되어 있다(노가미 등(Nogami et. al.) IEDM2010 pp 764-767 등). 합금 성분은, Cu 배선과 그 위에 형성되는 유전체 캡(SiCN 캡)의 사이에 편석(偏析)하여 양자의 밀착성을 향상시키고, 이에 의해 일렉트로마이그레이션 내성을 향상시킬 수 있다. 또한, Cu 합금의 합금 성분이 Cu의 결정립계에 편석하는 것에 의해서도 일렉트로마이그레이션 내성을 향상시킬 수 있다.
또한, Cu 배선의 표면에 선택적으로 금속 캡을 형성하여 Cu와 유전체 캡의 밀착성을 향상시켜 일렉트로마이그레이션 내성을 향상시키는 기술도 제안되어 있다(일본 특개 제2011-023456호 공보, 미국 특허 제7799681호 명세서, 일본 특표 제2012-504347호 공보 등).
그런데, Cu 배선 구조에는, 배선의 저항(R)과 배선 간의 용량(C)의 곱으로 나타내어지는 RC 지연을 저감하는 관점으로부터, 층간 절연막으로 유전율이 2.5 정도로 낮은 저유전율막(Low-k막)이 이용되고 있다. 그러나, 상기 노가미 등(Nogami et. al.) IEDM2010 pp 764-767의 기술에서는, 유전체 캡을 구성하는 SiCN 등은 유전율이 5 정도로 높고, 캡층을 포함하는 배선 구조의 실효적 유전율이 상승해 버려, RC 지연을 저감하는 것이 곤란하다.
또한, 상기 일본 특개 제2011-023456호 공보, 미국 특허 제7799681호 명세서, 일본 특표 제2012-504347호 공보와 같이 Cu 배선 상에 금속 캡을 형성하는 경우에는, 배선 간의 누설 전류를 억제하는 관점으로부터, Cu 배선상에만 선택적으로 금속 캡을 형성할 필요가 있고, 선택성을 확보하기 위해서 공정 수가 증가하여, 비용이 높아져 버린다. 또한, 이러한 기술로 형성되는 금속 캡은, 산소 배리어 특성이 낮고, 상층의 층간 절연막 중의 수분이나, 대기 노출시의 산소·수분이 Cu 배선에 도달하여, Cu 배선을 산화시켜 일렉트로마이그레이션 열화의 원인이 되기 때문에, 배리어 특성을 확보하기 위해서 유전체 캡도 필요하고, 배선 구조의 실효적 유전율을 저하시킬 수 없다.
본 발명은, 이러한 사정을 감안하여 이루어진 것으로, 기판상의 절연막에 형성된 오목부에 Cu 배선을 형성하고, 그 Cu 배선상에 캡막을 개재하여 상층의 절연막을 형성하여 Cu 배선 구조를 형성함에 있어, 배선 구조 전체의 유전율 상승을 억제할 수 있고, Cu 배선에 대한 산소 배리어 특성을 높게 할 수 있고, 캡막의 Cu막에 대한 선택성을 높게 할 수 있고, 또한, Cu 배선의 일렉트로마이그레이션 내성을 높게 할 수 있는 Cu 배선 구조의 형성 방법을 제공하는 것을 과제로 한다.
본 발명의 실시예에 따르면, 기판상에 오목부를 갖는 제 1 절연막을 마련하는 공정과, 적어도 기판상의 제 1 절연막의 오목부의 표면에 Cu 확산의 배리어로서 기능하는 배리어막을 형성하는 공정과, Al 함유 Cu막으로 상기 오목부를 매립하는 공정과, 상기 Al 함유 Cu막으로부터 Cu 배선을 형성하는 공정과, 상기 Cu 배선 상에 Ru막으로 이루어지는 캡층을 형성하는 공정과, 상기 캡층을 형성할 때의 열 또는 그 후의 가열 처리에 의해, 상기 Cu 배선의 상기 캡층과의 계면 근방에, Ru-Al 합금을 포함하는 계면층을 형성하는 공정과, 상기 캡층 상에 제 2 절연막을 형성하는 공정을 포함하는 것을 특징으로 하는 Cu 배선 구조의 형성 방법을 제공한다.
본 발명에 의하면, Cu 배선 상에, 캡층으로서 Cu 배선과의 밀착성이 양호한 금속 캡인 Ru막을 형성하므로, Cu 배선의 일렉트로마이그레이션 내성을 향상시킬 수 있다. 또한, 캡층을 Ru막으로 구성함으로써, 특별한 공정을 거치는 일 없이, Cu 배선 상에만 선택적으로 캡층을 성막할 수 있다. 또한, 캡층을 구성하는 Ru막을 성막할 때의 열, 또는 별도의 열 처리에 의해, Cu 배선과 캡층의 계면에, 산소 배리어 특성이 높은 Ru-Al 합금을 포함하는 계면층이 형성되기 때문에, 유전체 캡을 형성하는 일 없이, 상층의 층간 절연막을 성막할 수 있다. 이 때문에, 배선 구조의 실효적인 유전율을 저하시킬 수 있다.
도 1은 본 발명의 일 실시 형태에 따른 Cu 배선 구조의 형성 방법을 나타내는 플로우차트이다.
도 2는 본 발명의 일 실시 형태에 따른 Cu 배선 구조의 형성 방법을 설명하기 위한 공정 단면도이다.
도 3은 Cu 배선을 구성하는 Cu-Al 합금막 중의 Al이 Cu 배선과 Ru막으로 이루어지는 캡층의 사이에 확산하여 계면층을 형성하는 상태를 나타내는 모식도이다.
도 4는 본 발명의 실시 형태에 따른 Cu 배선의 형성 방법의 실시에 바람직한 멀티 챔버 타입의 성막 시스템의 일례를 나타내는 평면도이다.
도 5는 도 4의 성막 시스템에 탑재된 Cu 합금막을 형성하기 위한 Cu 합금막 성막 장치를 나타내는 단면도이다.
도 6은 도 4의 성막 시스템에 탑재된 Ru막을 형성하기 위한 Ru막 성막 장치를 나타내는 단면도이다.
도 7은 산소 배리어 특성을 확인한 실험예에 이용한 샘플의 구조를 나타내는 모식도이다.
도 8은 도 7의 구조를 갖는 복수의 샘플에 대해 대기중, 180℃에서 2 시간의 어닐 처리를 행한 후의 상태를 나타내는 현미경 사진이다.
이하, 첨부 도면을 참조하여 본 발명의 실시 형태에 대해 구체적으로 설명한다.
<Cu 배선 구조의 형성 방법의 일 실시 형태>
우선, Cu 배선 구조의 형성 방법의 일 실시 형태에 대해 도 1의 플로우차트 및 도 2의 공정 단면도를 참조하여 설명한다.
본 실시 형태에서는, 우선, 하층 Cu 배선을 갖는 하부 구조(201)(상세한 것은 생략) 상에 SiO2막, Low-k막(SiCO, SiCOH 등) 등의 층간 절연막(202)을 갖고, 그곳에 트렌치(203) 및 하층 Cu 배선으로의 접속을 위한 비아(도시하지 않음)가 소정 패턴으로 형성된 반도체 웨이퍼(이하, 간단히 웨이퍼라고 기재함) W를 마련한다(스텝 1, 도 2(a)). 이러한 웨이퍼 W로서는, Degas 프로세스나 Pre-Clean 프로세스에 의해, 절연막 표면의 수분이나 에칭/애싱시의 찌꺼기를 제거한 것이 바람직하다. 또한, RC 지연을 저감하는 관점으로부터는, 층간 절연막(202)으로서 Low-k막을 이용하는 것이 바람직하다.
다음에, 트렌치(203) 및 비아의 표면을 포함하는 전체면에 Cu를 차폐(배리어)하여 Cu의 확산을 억제하는 배리어막(204)을 성막한다(스텝 2, 도 2(b)).
배리어막(204)으로서는, Cu에 대해서 높은 배리어 특성을 갖고, 저저항을 갖는 것이 바람직하고, Ti막, TiN막, Ta막, TaN막, Ta/TaN의 2층막을 바람직하게 이용할 수 있다. 또한, TaCN막, W막, WN막, WCN막, Zr막, ZrN막, V막, VN막, Nb막, NbN막 등을 이용할 수도 있다. Cu 배선은 트렌치 또는 홀 내에 매립하는 Cu의 체적이 커질수록 저저항이 되므로, 배리어막은 얇게 형성하는 것이 바람직하고, 그러한 관점으로부터 그 두께는 1∼20nm가 바람직하다. 보다 바람직하게는 1∼10nm이다. 배리어막은, 이온화 PVD(Ionized Physical Vapor Deposition : iPVD), 예를 들면 플라즈마 스퍼터에 의해 성막할 수 있다. 또한, 통상의 스퍼터, 이온 도금 등의 다른 PVD로 성막할 수도 있고, CVD나 ALD, 플라즈마를 이용한 CVD나 ALD로 성막할 수도 있다.
그 다음에, 배리어막(204) 상에 Ru 라이너막(205)을 성막한다(스텝 3, 도 2(c)). Ru 라이너막은, 매립할 Cu의 체적을 크게 하여 배선을 저저항으로 하는 관점으로부터, 예를 들면 1∼5nm로 얇게 형성하는 것이 바람직하다.
Ru는 Cu에 대한 습윤성이 높기 때문에, Cu의 베이스(base)에 Ru 라이너막을 형성함으로써, 다음의 iPVD에 의한 Cu막 형성 시에, 양호한 Cu의 이동성을 확보할 수 있고, 트렌치나 홀의 폭을 막는 오버행(overhang)을 발생하기 어렵게 할 수 있다. 이 때문에, 미세한 트렌치 또는 홀에도 보이드(void)를 발생시키지 않고 확실하게 Cu를 매립할 수 있다.
Ru 라이너막은, 루테늄카르보닐(Ru3(CO)12)을 성막 원료로서 이용하는 열 CVD에 의해 바람직하게 형성할 수 있다. 이에 의해, 고 순도로 얇은 Ru막을 고 스텝 커버리지(coverage)로 성막할 수 있다. 이 때의 성막 조건은, 예를 들면 처리 용기내의 압력이 1.3∼66.5Pa의 범위이며, 성막 온도(웨이퍼 온도)가 150∼250℃의 범위이다. CVD에 의한 Ru 라이너막(205)은, 루테늄카르보닐 이외의 다른 성막 원료, 예를 들면 (사이클로펜타디에닐)(2, 4-디메틸펜타디에닐) 루테늄, 비스(사이클로펜타디에닐)(2, 4-메틸펜타디에닐) 루테늄, (2, 4-디메틸펜타디에닐)(에틸사이클로펜타디에닐) 루테늄, 비스(2, 4-메틸펜타디에닐)(에틸사이클로펜타디에닐) 루테늄과 같은 루테늄의 펜타디에닐 화합물을 이용하여 성막할 수도 있다. Ru 라이너막은, PVD로 성막할 수도 있다.
또한, 트렌치나 비아의 폭이 넓고, 오버행이 생기기 어려운 경우 등에는, 반드시 Ru 라이너막(205)을 형성할 필요는 없고, 배리어막 상에 직접 Cu막을 형성해도 좋다.
그 다음에, PVD에 의해 Cu-Al 합금으로 이루어지는 Cu 합금막(206)을 형성하고, 트렌치(203) 및 비아(도시하지 않음)에 Cu-Al 합금을 매립한다(스텝 4, 도 2(d)). 이 때의 성막은, iPVD, 예를 들면 플라즈마 스퍼터를 이용하는 것이 바람직하다.
통상의 PVD 성막인 경우에는, Cu의 응집에 의해, 트렌치나 홀의 개구를 막는 오버행이 생기기 쉽지만, iPVD를 이용하여 웨이퍼에 인가하는 바이어스 파워를 조정하고, Cu 이온의 성막 작용과 플라즈마 생성 가스의 이온(Ar 이온)에 의한 에칭 작용을 제어함으로써, Cu를 이동시켜 오버행의 생성을 억제할 수 있고, 좁은 개구의 트렌치나 홀이더라도 양호한 매립성을 얻을 수 있다. 이 때, Cu의 유동성을 갖게 하여 양호한 매립성을 얻는 관점으로부터, Cu가 마이그레이트하는 고온 프로세스(65∼350℃, 바람직하게는 230∼300℃)를 바람직하게 이용할 수 있다. 이와 같이 고온 프로세스로 PVD 성막함으로써, Cu 결정립을 성장시킬 수 있어, Cu 배선의 저항을 낮게 할 수 있다. 또한, 상술한 바와 같이, Cu 합금막(206)의 베이스에 Cu에 대한 습윤성이 높은 Ru 라이너막(205)을 마련함으로써, Ru 라이너막 상에서 Cu가 응집하지 않고 유동하므로, 미세한 오목부에 대해서도 오버행의 생성을 억제할 수 있어, 보이드를 발생시키지 않고 확실하게 Cu를 매립할 수 있다.
또한, 이 공정에서는, 트렌치나 홀의 개구 폭이 작은 경우에는, 거의 완전하게 Cu 합금을 매립할 수 있지만, 개구 폭이 큰 경우 등에, 다소의 오목부가 생기는 것은 허용된다.
또한, Cu 합금막 성막시에 있어서의 처리 용기내의 압력(프로세스 압력)은, 0.133∼13.3Pa(1∼100mTorr)가 바람직하고, 4.66∼12.0Pa(35∼90mTorr)가 보다 바람직하다.
Cu 합금막(206)을 구성하는 Cu-Al 합금의 Al 농도는 0.05∼2at.%의 범위인 것이 바람직하다.
Cu 합금막(206)은, Cu-Al 합금제의 Cu 합금 타겟을 이용하여 성막하지만, 그 때의 타겟의 조성(Al 농도)과 성막되는 Cu-Al 합금막의 조성(Al 농도)의 관계는, 압력 등의 성막 조건에 따라 변동하기 때문에, 실제로 채용되는 제조 조건에 있어서 소망하는 조성을 얻을 수 있도록 타겟을 구성하는 Cu-Al 합금의 조성을 조정할 필요가 있다. 또한, Cu 합금 타겟으로의 직류 전력은 4∼12kW인 것이 바람직하고, 6∼10kW가 보다 바람직하다.
이와 같이 트렌치(203) 및 비아(홀) 내에 Cu 합금을 매립한 후에는, 그 후의 평탄화 처리를 대비해서 Cu 합금막(206) 상에 추가층(207)을 성막한다(스텝 5, 도 2(e)).
추가층(207)은, Cu 합금막(206)에 계속해서 iPVD 등의 PVD에 의해 동일한 Cu-Al 합금막을 성막함으로써 형성해도 좋고, 순수한 Cu막을 PVD 또는 도금에 의해 형성해도 좋다. 단, 양호한 스루풋을 얻는 관점, 및 장치의 간략화의 관점 등으로부터, Cu 합금막(206)을 형성한 것과 동일한 PVD(iPVD) 장치를 이용하여, Cu 합금막(206)과 동일한 Cu-Al 합금막에 의해 추가층(207)을 형성하는 것이 바람직하다. 추가층(207)은 매립성을 거의 고려할 필요는 없기 때문에, PVD로 성막할 때에는, Cu 합금막(206)보다 높은 성막 속도로 형성하는 것이 바람직하다.
이와 같이 하여 추가층(207)까지 성막한 후, 필요에 따라서 어닐 처리를 행한다(스텝 6, 도 2(f)). 이 어닐 처리에 의해, Cu 합금막(206)을 안정화시킨다.
이 후, CMP에 의해 웨이퍼 W 표면의 전체면을 연마하여, 추가층(207), Cu 합금막(206), Ru 라이너막(205), 배리어막(204)을 제거하여 평탄화한다(스텝 7, 도 2(g)). 이에 의해 트렌치 및 비아(홀) 내에 Cu-Al 합금으로 이루어지는 Cu 배선(208)이 형성된다.
그 후, 필요에 따라서 데가스(degas) 프로세스를 행하여 CMP에 의해 층간 절연막(202)이 흡수한 수분을 제거한 후, Cu 배선(208) 상에 선택적으로 Ru막으로 이루어지는 캡층(209)을 성막한다(스텝 8, 도 2(h)). 이 캡층(209)은, Ru 라이너막(205)과 동일한 조건에서 CVD에 의해 바람직하게 성막할 수 있다. 또한, PVD로 성막할 수도 있다. 캡층(209)의 두께는 1∼10nm인 것이 바람직하다.
Ru막으로 이루어지는 캡층(209)을 성막했을 때에, 그 때의 열에 의해, Cu 배선(208)과 캡층(209)의 계면에 계면층(210)이 형성된다(스텝 9, 도 2(i)). 계면층(210)을 형성하기 위해서 별도로 어닐 처리를 행해도 좋다.
이 계면층(210)이 형성될 때의 메커니즘을 도 3을 참조하여 설명한다. Ru막으로 이루어지는 캡층(209)을 성막할 때에는, 바람직하게는 CVD에 의해 성막되지만, 그 때에는 150∼250℃로 가열되고, 그 때의 열에 의해, Cu 배선(208)을 구성하는 Cu-Al 합금중의 Al이 Cu 배선(208)과 캡층(209)의 계면을 향해 확산하여, 이들 계면에 Ru와 Al을 포함하는 계면층(210)이 형성된다. 계면층(210)에 있어서는, Ru와 Al이 반응하여 Ru-Al 합금을 형성하고 있다. 또한, 여기서 말하는 합금은, 고용체 뿐만 아니라 금속간 화합물도 포함하는 것이다.
이 계면층(210)의 Ru-Al 합금은, 산소 배리어 특성을 갖고 있고, 상층의 층간 절연막 중의 수분이나, 대기 노출시의 산소·수분의 투과를 방지하여, Cu 배선(208)의 일렉트로마이그레이션 열화를 방지할 수 있다. 또한, Cu의 확산 배리어로서도 기능한다. 이 때문에, 종래, Cu 배선 상부의 배리어 특성을 담보하기 위해서 마련되어 있던 유전체 캡이 불필요해진다. 또한, 도시하고 있지 않지만, Ru-Al 합금을 포함하는 계면층은, Ru 라이너막(205)과 Cu 배선(208)의 사이에도 형성된다.
이와 같이, 계면층(210)에 의해 산소 배리어 특성을 확보할 수 있기 때문에, 캡층(209)을 형성한 후, 유전체 캡을 형성하는 일 없이, 상층의 층간 절연막(211)을 형성한다(스텝 10, 도 2(j)). 상층의 층간 절연막(211)도, 층간 절연막(202)과 마찬가지로, SiO2나 Low-k막을 이용할 수 있지만, RC 지연을 저감하는 관점으로부터, Low-k막을 이용하는 것이 바람직하다.
상층의 층간 절연막(211)을 형성한 후에는, 이상으로 설명한 순서와 동일한 순서로 상층의 Cu 배선을 형성한다.
이상과 같이, 본 실시 형태에 의하면, Cu 배선(208) 상에, 캡층(209)으로서 Cu 배선과의 밀착성이 양호한 금속 캡인 Ru막을 형성하므로, Cu 배선의 일렉트로마이그레이션 내성을 향상시킬 수 있다. 또한, 캡층(209)을 구성하는 Ru막은, Cu에 대한 습윤성이 높고, 층간 절연막(202) 위보다도 Cu 배선(208) 위의 쪽이 Ru막 성막 시의 인큐베이션(incubation) 시간이 현저하게 짧고, 따라서 특별한 공정을 거치는 일 없이, Cu 배선(208) 상에만 선택적으로 Ru막으로 이루어지는 캡층(209)을 성막할 수 있다. 또한, 캡층(209)을 구성하는 Ru막을 성막할 때의 열, 또는 별도의 열 처리에 의해, Cu 배선(208)과 캡층(209)의 계면에, 산소 배리어 특성이 높은 Ru-Al 합금을 포함하는 계면층(210)이 형성되기 때문에, 유전체 캡을 형성하는 일 없이, 상층의 층간 절연막을 성막할 수 있다. 이 때문에, 배선 구조의 실효적인 유전율을 저하시킬 수 있다.
또한, Cu 배선(208)으로서 Cu-Al 합금을 이용하므로, 합금 성분인 Al이 Cu의 결정립계에 편석하고, 그에 따라 일렉트로마이그레이션 내성을 한층 향상시킬 수 있다.
또한, Cu에 대한 습윤성이 높은 Ru 라이너막(205)을 형성한 후에, PVD로 Cu 합금막(206)을 형성하여 트렌치 및 비아를 매립하므로, Cu 도금인 경우와 같은 보이드를 생기게 하는 일 없이 양호한 매립성을 얻을 수 있다.
또한, 상기 일련의 공정 중, 배리어막(204)을 성막하는 스텝 2, Ru 라이너막(205)을 성막하는 스텝 3, Cu 합금막(206)을 성막하는 스텝 4, 추가층(207)을 성막하는 스텝 5는, 진공 중에서 대기 노출을 거치지 않고 연속하여 성막하는 것이 바람직하지만, 이들 중 어느 하나의 사이에서 대기 노출해도 좋다.
<본 발명의 실시 형태의 실시에 바람직한 성막 시스템>
다음에, 본 발명의 실시 형태에 따른 Cu 배선의 형성 방법의 실시에 바람직한 성막 시스템에 대해 설명한다. 도 4는 본 발명의 실시 형태에 따른 Cu 배선의 형성 방법의 실시에 바람직한 멀티 챔버 타입의 성막 시스템의 일례를 나타내는 평면도이다.
성막 시스템(1)은, 배리어막, Ru 라이너막, 및 캡층인 Ru막을 형성하는 제 1 처리부(2)와, 순수한 Cu막 및 Cu 합금막을 형성하는 제 2 처리부(3)와, 반입출부(4)를 갖고 있고, 웨이퍼 W에 대해서 Cu 배선을 형성하기 위한 것으로, 상기 실시 형태에 있어서의 추가층의 형성까지, 및 캡층의 형성을 행하는 것이다.
제 1 처리부(2)는, 평면 형상이 칠각형을 이루는 제 1 진공 반송실(11)과, 이 제 1 진공 반송실(11)의 4개의 변에 대응하는 벽부에 접속된 2개의 배리어막 성막 장치(12a, 12b) 및 2개의 Ru막 성막 장치(14a, 14b)를 갖고 있다. 배리어막 성막 장치(12a) 및 Ru막 성막 장치(14a)와 배리어막 성막 장치(12b) 및 Ru막 성막 장치(14b)는 선대칭의 위치에 배치되어 있다.
제 1 진공 반송실(11)의 다른 2변에 대응하는 벽부에는, 각각 웨이퍼 W의 데가스 처리를 행하는 데가스실(5a, 5b)이 접속되어 있다. 또한, 제 1 진공 반송실(11)의 데가스실(5a와 5b)의 사이의 벽부에는, 제 1 진공 반송실(11)과 후술하는 제 2 진공 반송실(21)의 사이에 웨이퍼 W의 교환을 행하는 교환실(5)이 접속되어 있다.
배리어막 성막 장치(12a, 12b), Ru막 성막 장치(14a, 14b), 데가스실(5a, 5b), 및 교환실(5)은, 제 1 진공 반송실(11)의 각 변에 게이트 밸브 G를 거쳐서 접속되고, 이들은 대응하는 게이트 밸브 G를 개방함으로써 제 1 진공 반송실(11)과 연통되고, 대응하는 게이트 밸브 G를 폐쇄함으로써 제 1 진공 반송실(11)로부터 차단된다.
제 1 진공 반송실(11)내는 소정의 진공 분위기로 유지되도록 되어 있고, 그 중에는, 배리어막 성막 장치(12a, 12b), Ru막 성막 장치(14a, 14b), 데가스실(5a, 5b), 및 교환실(5)에 대해서 웨이퍼 W의 반입출을 행하는 제 1 반송 기구(16)가 마련되어 있다. 이 제 1 반송 기구(16)는, 제 1 진공 반송실(11)의 대략 중앙에 배치되어 있고, 회전 및 신축 가능한 회전·신축부(17)를 갖고, 그 회전·신축부(17)의 선단에 웨이퍼 W를 지지하는 2개의 지지 아암(18a, 18b)이 마련되어 있고, 이들 2개의 지지 아암(18a, 18b)은 서로 반대 방향을 향하도록 회전·신축부(17)가 부착되어 있다.
제 2 처리부(3)는, 평면 형상이 팔각형을 이루는 제 2 진공 반송실(21)과, 이 제 2 진공 반송실(21)의 대향하는 2개의 변에 대응하는 벽부에 접속되어, Cu-Al 합금막을 성막하기 위한 2개의 Cu 합금막 성막 장치(22a, 22b)와, 제 2 진공 반송실(21)의 대향하는 다른 2개의 변에 대응하는 벽부에 접속되어, 추가층용의 순수한 Cu막 또는 Cu 합금막을 성막하기 위한 2개의 Cu막 성막 장치(24a, 24b)를 갖고 있다. 또한, Cu 합금막 성막 장치(22a, 22b)에 의해 추가층까지 동일한 Cu-Al 합금으로 성막하는 경우에는, Cu막 성막 장치(24a, 24b)는 불필요하다.
제 2 진공 반송실(21)의 제 1 처리부(2)측의 2변에 대응하는 벽부에는, 각각 상기 데가스실(5a, 5b)이 접속되고, 데가스실(5a와 5b)과의 사이의 제 2 진공 반송실(21)의 변에 대응하는 벽부에는, 상기 교환실(5)이 접속되어 있다. 즉, 교환실(5) 및 데가스실(5a 및 5b)은, 모두 제 1 진공 반송실(11)과 제 2 진공 반송실(21)의 사이에 마련되고, 교환실(5)의 양측에 데가스실(5a 및 5b)이 배치되어 있다. 또한, 반입출부(4)측에 대응하는 벽부에는, 대기 반송 및 진공 반송 가능한 로드록실(6)이 접속되어 있다.
Cu 합금막 성막 장치(22a, 22b), Cu막 성막 장치(24a, 24b), 데가스실(5a, 5b), 및 로드록실(6)은, 제 2 진공 반송실(21)의 각 변에 게이트 밸브 G를 거쳐서 접속되고, 이들은 대응하는 게이트 밸브를 개방함으로써 제 2 진공 반송실(21)과 연통되고, 대응하는 게이트 밸브 G를 폐쇄함으로써 제 2 진공 반송실(21)로부터 차단된다. 또한, 교환실(5)은 게이트 밸브를 거치지 않고 제 2 반송실(21)에 접속되어 있다.
제 2 진공 반송실(21)내는 소정의 진공 분위기로 유지되도록 되어 있고, 그 중에는, Cu 합금막 성막 장치(22a, 22b), Cu막 성막 장치(24a, 24b), 데가스실(5a, 5b), 로드록실(6) 및 교환실(5)에 대해서 웨이퍼 W의 반입출을 행하는 제 2 반송 기구(26)가 마련되어 있다. 이 제 2 반송 기구(26)는, 제 2 진공 반송실(21)의 대략 중앙에 배치되어 있고, 회전 및 신축 가능한 회전·신축부(27)를 갖고, 그 회전·신축부(27)의 선단에 웨이퍼 W를 지지하는 2개의 지지 아암(28a, 28b)이 마련되어 있고, 이들 2개의 지지 아암(28a, 28b)은 서로 반대 방향을 향하도록 회전·신축부(27)에 부착되어 있다.
반입출부(4)는, 상기 로드록실(6)을 사이에 두고 제 2 처리부(3)에 반대측에 마련되어 있고, 로드록실(6)이 접속되는 대기 반송실(31)을 갖고 있다. 로드록실(6)과 대기 반송실(31)의 사이의 벽부에는 게이트 밸브 G가 마련되어 있다. 대기 반송실(31)의 로드록실(6)이 접속된 벽부와 대향하는 벽부에는 피처리 기판으로서의 웨이퍼 W를 수용하는 캐리어 C를 접속하는 2개의 접속 포트(32, 33)가 마련되어 있다. 이들 접속 포트(32, 33)에는 각각 도시하지 않은 셔터가 마련되어 있고, 이들 접속 포트(32, 33)에 웨이퍼 W를 수용한 상태의, 또는 비어 있는 캐리어 C가 직접 장착되고, 그 때에 셔터가 분리되어 외부 공기의 침입을 방지하면서 대기 반송실(31)과 연통하도록 되어 있다. 또한, 대기 반송실(31)의 측면에는 얼라인먼트 챔버(34)가 마련되어 있고, 그곳에서 웨이퍼 W의 얼라인먼트가 행해진다. 대기 반송실(31)내에는, 캐리어 C에 대한 웨이퍼 W의 반입출 및 로드록실(6)에 대한 웨이퍼 W의 반입출을 행하는 대기 반송용 반송 기구(36)가 마련되어 있다. 이 대기 반송용 반송 기구(36)는, 2개의 다관절 아암을 갖고 있고, 캐리어 C의 배열 방향을 따라 레일(38)상을 주행 가능하게 되어 있고, 각각의 선단의 핸드(37)상에 웨이퍼 W를 실어 그 반송을 행하도록 되어 있다.
이 성막 시스템(1)은, 이 성막 시스템(1)의 각 구성부를 제어하기 위한 제어부(40)를 갖고 있다. 이 제어부(40)는, 각 구성부의 제어를 실행하는 마이크로프로세서(컴퓨터)로 이루어지는 프로세스 콘트롤러(41)와, 오퍼레이터가 성막 시스템(1)을 관리하기 위해서 커맨드의 입력 조작 등을 행하는 키보드나, 성막 시스템(1)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 사용자 인터페이스(42)와, 성막 시스템(1)에서 실행되는 처리를 프로세스 콘트롤러(41)의 제어로 실현하기 위한 제어 프로그램이나, 각종 데이터, 및 처리 조건에 따라 처리 장치의 각 구성부로 처리를 실행시키기 위한 프로그램, 즉 처리 레시피가 저장된 기억부(43)를 구비하고 있다. 또한, 사용자 인터페이스(42) 및 기억부(43)는 프로세스 콘트롤러(41)에 접속되어 있다.
상기 처리 레시피는 기억부(43)내의 기억 매체(43a)에 기억되어 있다. 기억 매체는, 하드 디스크이어도 좋고, CDROM, DVD, 플래쉬 메모리 등의 가반성(可搬性)의 것이어도 좋다. 또한, 다른 장치로부터, 예를 들면 전용 회선을 거쳐서 레시피를 적절히 전송시키도록 해도 좋다.
그리고, 필요에 따라서, 사용자 인터페이스(42)로부터의 지시 등으로 임의의 레시피를 기억부(43)로부터 호출하여 프로세스 콘트롤러(41)로 실행시킴으로써, 프로세스 콘트롤러(41)의 제어하에서, 성막 시스템(1)에서의 소망하는 처리가 행해진다.
이러한 성막 시스템(1)에 있어서는, 캐리어 C로부터 대기 반송용 반송 기구(36)에 의해 트렌치나 홀을 갖는 소정 패턴이 형성된 웨이퍼 W를 취출하고, 로드록실(6)에 반송하여, 그 로드록실을 제 2 진공 반송실(21)과 동일한 정도의 진공도로 감압한 후, 제 2 반송 기구(26)에 의해 로드록실의 웨이퍼 W를 취출하고, 제 2 진공 반송실(21)을 거쳐서 데가스실(5a 또는 5b)에 반송하여, 웨이퍼 W의 데가스 처리를 행한다. 그 후, 제 1 반송 기구(16)에 의해 데가스실의 웨이퍼 W를 취출하고, 제 1 진공 반송실(11)을 거쳐서 배리어막 성막 장치(12a 또는 12b)에 반입하여, 상술한 바와 같은 배리어막을 성막한다. 배리어막 성막 후, 제 1 반송 기구(16)에 의해 배리어막 성막 장치(12a 또는 12b)로부터 웨이퍼 W를 취출하고, Ru막 성막 장치(14a 또는 14b)에 반입하여, 상술한 바와 같은 Ru 라이너막을 성막한다. Ru 라이너막 성막 후, 제 1 반송 기구(16)에 의해 Ru막 성막 장치(14a 또는 14b)로부터 웨이퍼 W를 취출하고, 교환실(5)에 반송한다. 그 후, 제 2 반송 기구(26)에 의해 웨이퍼 W를 취출하고, 제 2 진공 반송실(21)을 거쳐서 Cu 합금막 성막 장치(22a 또는 22b)에 반입하여, 상술한 Cu-Al 합금막을 형성한다. 그 후, Cu 합금막 상에 추가층을 형성하지만, 추가층의 형성은, 동일한 Cu 합금막 성막 장치(22a 또는 22b)내에서 Cu 합금막을 연속하여 형성함으로써 행해도 좋고, 제 2 반송 기구(26)에 의해 Cu 합금막 성막 장치(22a 또는 22b)로부터 웨이퍼 W를 취출하고, Cu막 성막 장치(24a 또는 24b)에 반입하여, 그곳에서 순수한 Cu막 또는 Cu 합금막을 형성하여 추가층으로 해도 좋다.
추가층의 형성 후, 웨이퍼 W를 로드록실(6)에 반송하여, 그 로드록실을 대기압으로 되돌린 후, 대기 반송용 반송 기구(36)에 의해 Cu막이 형성된 웨이퍼 W를 취출하여, 캐리어 C로 되돌린다. 이러한 처리를 캐리어내의 웨이퍼 W의 수만큼 반복한다.
다음에, 캐리어 C는, 일단 성막 시스템(1)으로부터 반출되고, 캐리어 C에 탑재된 웨이퍼 W는, 도시하지 않은 장치에 의해 어닐이나 CMP가 실시되고, 그 후, 재차 성막 시스템(1)으로 되돌려지고, 캐리어 C에 탑재된 웨이퍼 W는, Ru막 성막 장치(14a 또는 14b)에 의해 Ru막으로 이루어지는 캡층을 성막한다.
성막 시스템(1)에 의하면, 대기 개방하는 일 없이 진공 중에서 배리어막, 라이너막, Cu 합금막, 추가층을 성막하므로, 각 막의 계면에서의 산화를 방지할 수 있어, 고성능의 Cu 배선을 얻을 수 있다.
또한, 추가층을 Cu 도금으로 형성하는 경우에는, Cu 합금막을 성막 후, 성막 시스템(1)으로부터 웨이퍼 W를 반출한다.
다음에, 성막 시스템에 탑재된 각 장치의 바람직한 예에 대해 설명한다.
<Cu막 성막 장치>
먼저, Cu 합금막을 형성하는 Cu 합금막 성막 장치(22a)(22b)에 대해 설명한다.
도 5는, Cu 합금막 성막 장치의 일례를 나타내는 단면도이다. 여기에서는 iPVD인 ICP(Inductively Coupled Plasma)형 플라즈마 스퍼터 장치를 예를 들어 설명한다.
도 5에 나타낸 바와 같이, 이 Cu 합금막 성막 장치(22a)(22b)는, 예를 들면 알루미늄 등에 의해 실린더 형상으로 성형된 처리 용기(51)를 갖고 있다. 이 처리 용기(51)는 접지되고, 그 바닥부(52)에는 배기구(53)가 마련되어 있고, 배기구(53)에는 배기관(54)이 접속되어 있다. 배기관(54)에는 압력 조정을 행하는 스로틀 밸브(throttle valve)(55) 및 진공 펌프(56)가 접속되어 있고, 처리 용기(51)내가 진공 흡인 가능하게 되어 있다. 또한 처리 용기(51)의 바닥부(52)에는, 처리 용기(51)내에 소정의 가스를 도입하는 가스 도입구(57)가 마련된다. 이 가스 도입구(57)에는 가스 공급 배관(58)이 접속되어 있고, 가스 공급 배관(58)에는, 플라즈마 여기용 가스로서 희가스, 예를 들면 Ar 가스나 다른 필요한 가스, 예를 들면 N2 가스 등을 공급하기 위한 가스 공급원(59)이 접속되어 있다. 또한, 가스 공급 배관(58)에는, 가스 유량 제어기, 밸브 등에 의해 이루어지는 가스 제어부(60)가 개재되어 있다.
처리 용기(51)내에는, 피처리 기판인 웨이퍼 W를 탑재하기 위한 탑재 기구(62)가 마련된다. 이 탑재 기구(62)는, 원판 형상으로 성형된 탑재대(63)와, 이 탑재대(63)를 지지함과 아울러 접지된 중공(中空) 실린더 형상의 지주(64)를 갖고 있다. 탑재대(63)는, 예를 들면 알루미늄 합금 등의 도전성 재료로 이루어지고, 지주(64)를 거쳐서 접지되어 있다. 탑재대(63)내에는 냉각 쟈켓(65)이 마련되어 있고, 도시하지 않은 냉매 유로를 거쳐서 냉매를 공급하도록 되어 있다. 또한, 탑재대(63)내에는 냉각 쟈켓(65)상에 절연 재료로 피복된 저항 히터(87)가 매립되어 있다. 저항 히터(87)는 도시하지 않은 전원으로부터 급전되도록 되어 있다. 탑재대(63)에는 열전쌍(도시하지 않음)이 마련되어 있고, 이 열전쌍에서 검출된 온도에 근거하여, 냉각 쟈켓(65)으로의 냉매의 공급 및 저항 히터(87)로의 급전을 제어함으로써, 웨이퍼 온도를 소정의 온도로 제어할 수 있도록 되어 있다.
탑재대(63)의 상면측에는, 예를 들면 알루미나 등의 유전체 부재(66a)내에 전극(66b)이 매립되어 구성된 얇은 원판 형상의 정전 척(66)이 마련되어 있고, 웨이퍼 W를 정전력에 의해 흡착 유지할 수 있도록 되어 있다. 또한, 지주(64)의 하부는, 처리 용기(51)의 바닥부(52)의 중심부에 형성된 삽통구멍(67)을 관통하여 하부로 연장되어 있다. 지주(64)는, 도시하지 않은 승강 기구에 의해 상하 이동 가능하게 되어 있고, 이에 의해 탑재 기구(62)의 전체가 승강된다.
지주(64)를 둘러싸도록, 신축 가능하게 구성된 벨로우즈 형상의 금속 벨로우즈(68)가 마련되어 있고, 이 금속 벨로우즈(68)는, 그 상단이 탑재대(63)의 하면에 기밀(氣密)하게 접합되고, 또한 하단이 처리 용기(51)의 바닥부(52)의 상면에 기밀하게 접합되어 있고, 처리 용기(51)내의 기밀성을 유지하면서 탑재 기구(62)의 승강 이동을 허용할 수 있도록 되어 있다.
또한 바닥부(52)에는, 위쪽을 향해, 예를 들면 3개(도 5에서는 2개만 도시함)의 지지 핀(69)을 기립시켜 마련되어 있고, 또한, 이 지지 핀(69)에 대응시켜 탑재대(63)에 핀 삽통구멍(70)이 형성되어 있다. 따라서, 탑재대(63)를 강하시켰을 때에, 핀 삽통구멍(70)을 관통한 지지 핀(69)의 상단부에서 웨이퍼 W를 수취하여, 그 웨이퍼 W를 외부로부터 침입하는 반송 아암(도시하지 않음)과의 사이에서 전달할 수 있다. 이 때문에, 처리 용기(51)의 하부 측벽에는, 반송 암을 침입시키기 위해서 반출입구(71)가 마련되고, 이 반출입구(71)에는, 개폐 가능하게된 게이트 밸브 G가 마련되어 있다. 이 게이트 밸브 G의 반대측에는, 전술한 제 2 진공 반송실(21)이 마련되어 있다.
또한 상술한 정전 척(66)의 전극(66b)에는, 급전 라인(72)을 거쳐서 척용 전원(73)이 접속되어 있고, 이 척용 전원(73)으로부터 전극(66b)에 직류 전압을 인가함으로써, 웨이퍼 W가 정전력에 의해 흡착 유지된다. 또한 급전 라인(72)에는 바이어스용 고주파 전원(74)이 접속되어 있고, 이 급전 라인(72)을 거쳐서 정전 척(66)의 전극(66b)에 대해서 바이어스용의 고주파 전력을 공급하여, 웨이퍼 W에 바이어스 전력이 인가되도록 되어 있다. 이 고주파 전력의 주파수는, 400kHz∼60MHz가 바람직하고, 예를 들면, 13.56MHz가 채용된다.
한편, 처리 용기(51)의 천정부에는, 예를 들면 알루미나 등의 유전체로 이루어지는 고주파에 대해서 투과성이 있는 투과판(76)이 O링 등의 시일 부재(77)를 거쳐서 기밀하게 마련되어 있다. 그리고, 이 투과판(76)의 상부에, 처리 용기(51)내의 처리 공간 S에 플라즈마 여기용 가스로서의 희가스, 예를 들면 Ar 가스를 플라즈마화하여 플라즈마를 발생하기 위한 플라즈마 발생원(78)이 마련된다. 또한, 이 플라즈마 여기용 가스로서, Ar 대신에 다른 희가스, 예를 들면 He, Ne, Kr 등을 이용해도 좋다.
플라즈마 발생원(78)은, 투과판(76)에 대응시켜 마련한 유도 코일(80)을 갖고 있고, 이 유도 코일(80)에는, 플라즈마 발생용의, 예를 들면 13.56MHz의 고주파 전원(81)이 접속되고, 상기 투과판(76)을 거쳐서 처리 공간 S에 고주파 전력이 도입되어 유도 전계를 형성하도록 되어 있다.
또한 투과판(76)의 바로 아래에는, 도입된 고주파 전력을 확산시키는, 예를 들면 알루미늄으로 이루어지는 배플 플레이트(baffle plate)(82)가 마련된다. 그리고, 이 배플 플레이트(82)의 하부에는, 상기 처리 공간 S의 상부측방을 둘러싸도록 하여, 예를 들면 단면이 내측을 향해 경사져서 고리 형상(끝이 잘려진 원추(truncated cone) 형상)의 Cu-Al 합금으로 이루어지는 타겟(83)이 마련되어 있고, 이 타겟(83)에는 Ar 이온을 끌어당기기 위한 직류 전력을 인가하는 타겟용의 전압 가변의 직류 전원(84)이 접속되어 있다. 또한, 직류 전원 대신에 교류 전원을 이용해도 좋다.
또한, 타겟(83)의 외주측에는, 이것에 자계를 부여하기 위한 자석(85)이 마련되어 있다. 타겟(83)은 플라즈마 중의 Ar 이온에 의해 금속 원자, 혹은 금속 원자단으로서 스퍼터됨과 아울러, 플라즈마 중을 통과할 때에 대부분이 이온화된다.
또한 이 타겟(83)의 하부에는, 상기 처리 공간 S를 둘러싸도록 하여, 예를 들면 알루미늄이나 구리로 이루어지는 원통 형상의 보호 커버 부재(86)가 마련되어 있다. 이 보호 커버 부재(86)는 접지됨과 아울러, 그 하부는 내측으로 굴곡되어 탑재대(63)의 측부 근방에 위치되어 있다. 따라서, 보호 커버 부재(86)의 내측의 단부는, 탑재대(63)의 외주측을 둘러싸도록 하여 마련되어 있다.
또한, Cu 합금막 성막 장치의 각 구성부도, 상술의 제어부(40)에 의해 제어되도록 되어 있다.
이와 같이 구성되는 Cu 합금막 성막 장치에 있어서는, 웨이퍼 W를 도 5에 나타내는 처리 용기(51)내에 반입하고, 이 웨이퍼 W를 탑재대(63)상에 탑재하여 정전 척(66)에 의해 흡착하여, 제어부(40)의 제어하에서 이하의 동작이 행해진다. 이 때, 탑재대(63)는, 열전쌍(도시하지 않음)에서 검출된 온도에 근거하여, 냉각 쟈켓(65)으로의 냉매의 공급 및 저항 히터(87)로의 급전을 제어함으로써 온도 제어된다.
성막 시에는, 우선, 진공 펌프(56)를 동작시킴으로써 소정의 진공 상태로 된 처리 용기(51)내에, 가스 제어부(60)를 조작하여 소정 유량으로 Ar 가스를 흘리면서 스로틀 밸브(55)를 제어하여 처리 용기(51)내를 소정의 진공도로 유지한다. 그 후, 가변 직류 전원(84)으로부터 직류 전력을 타겟(83)에 인가하고, 또한 플라즈마 발생원(78)의 고주파 전원(81)으로부터 유도 코일(80)에 고주파 전력(플라즈마 전력)을 공급한다. 한편, 바이어스용 고주파 전원(74)으로부터 정전 척(66)의 전극(66b)에 대해서 소정의 바이어스용의 고주파 전력을 공급한다.
이에 의해, 처리 용기(51)내에 있어서는, 유도 코일(80)에 공급된 고주파 전력에 의해 아르곤 플라즈마가 형성되어 아르곤 이온이 생성되고, 이들 이온은 타겟(83)에 인가된 직류 전압으로 끌어 들여져서 타겟(83)에 충돌하고, 이 타겟(83)이 스퍼터되어 입자가 방출된다. 이 때, 타겟(83)에 인가하는 직류 전압에 의해 방출되는 입자의 양이 최적으로 제어된다.
또한, 스퍼터된 타겟(83)으로부터의 입자는 플라즈마 중을 통할 때에 대부분이 이온화된다. 여기서 타겟(83)으로부터 방출되는 입자는, 이온화된 것과 전기적으로 중성인 중성 원자가 혼재하는 상태가 되어 아래쪽 방향으로 비산(飛散)해 간다. 특히, 이 처리 용기(51)내의 압력을 어느 정도 높게 하여, 이에 의해 플라즈마 밀도를 높임으로써, 입자를 고효율로 이온화할 수 있다. 이 때의 이온화율은 고주파 전원(81)으로부터 공급되는 고주파 전력에 의해 제어된다.
그리고, 이온은, 고주파 전원(74)으로부터 정전 척(66)의 전극(66b)에 인가된 바이어스용의 고주파 전력에 의해 웨이퍼 W면상에 형성되는 두께 수mm 정도의 이온 시스(sheath)의 영역에 들어가면, 강한 지향성을 갖고 웨이퍼 W측으로 가속하도록 끌어 당겨져서 웨이퍼 W에 퇴적하여 Cu-Al 합금으로 이루어지는 Cu 합금막이 형성된다.
이 때, 웨이퍼 온도를 높게(65∼350℃, 바람직하게는 230∼300℃) 설정함과 아울러, 바이어스용 고주파 전원(74)으로부터 정전 척(66)의 전극(66b)에 대해서 인가되는 바이어스 파워를 조정하고 Cu 합금의 성막과 Ar에 의한 에칭을 조정하여, Cu-Al 합금의 유동성을 양호하게 함으로써, 개구가 좁은 트렌치나 홀이더라도 양호한 매립성으로 Cu-Al 합금을 매립할 수 있다. 구체적으로는, Cu 합금 성막량(성막 레이트)을 TD, 플라즈마 생성용의 가스의 이온에 의한 에칭량(에칭 레이트)을 TE로 하면, 0≤TE/TD<1, 또한 0<TE/TD<1이 되도록 바이어스 파워를 조정하는 것이 바람직하다.
양호한 매립성을 얻는 관점으로부터, 처리 용기(51)내의 압력(프로세스 압력)은, 0.133∼13.3Pa(1∼100mTorr), 또한 4.66∼12.0Pa(35∼90mTorr)가 바람직하고, 타겟으로의 직류 전력은 4∼12kW, 또한 6∼10kW로 하는 것이 바람직하다.
또한, 트렌치나 홀의 개구가 넓은 경우 등에는, iPVD에 한정하지 않고, 통상의 스퍼터, 이온 도금 등의 통상의 PVD를 이용할 수도 있다.
<Cu막 성막 장치>
Cu막 성막 장치(24a)(24b)로서는, 기본적으로, 도 5에 나타내는 Cu 합금막 성막 장치(22a)(22b)와 마찬가지의 장치를 이용할 수 있다. 순수한 Cu를 성막할 때에는, 타겟(83)으로서 순수한 Cu를 이용한다. 또한, 매립성을 중시할 필요가 없는 경우 등에는, iPVD에 한정하지 않고, 통상의 스퍼터, 이온 도금 등의 통상의 PVD를 이용할 수도 있다.
<배리어막 성막 장치>
배리어막 성막 장치(12a)(12b)로서는, 타겟(83)을, 배리어막을 구성하는 재료로 변경할 뿐이며 도 5의 성막 장치와 마찬가지의 구성의 성막 장치를 이용하여 플라즈마 스퍼터에 의해 성막할 수 있다. 또한, 플라즈마 스퍼터로 한정되지 않고, 통상의 스퍼터, 이온 도금 등의 다른 PVD이어도 좋고, CVD나 ALD(Atomic Layer Deposition), 플라즈마를 이용한 CVD나 ALD로 성막할 수도 있다. 불순물을 저감하는 관점으로부터는 PVD가 바람직하다.
<Ru막 성막 장치>
다음에, Ru 라이너막 및 캡층을 구성하는 Ru막을 형성하기 위한 Ru막 성막 장치(14a)(14b)에 대해 설명한다. Ru막은 열 CVD에 의해 바람직하게 형성할 수 있다. 도 6은, Ru막 성막 장치의 일례를 나타내는 단면도이며, 열 CVD에 의해 Ru막을 형성하는 것이다.
도 6에 나타낸 바와 같이, 이 Ru막 성막 장치(14a)(14b)는, 예를 들면 알루미늄 등에 의해 실린더로 형성된 처리 용기(101)를 갖고 있다. 처리 용기(101)의 내부에는, 웨이퍼 W를 탑재하는, 예를 들면 AlN 등의 세라믹스로 이루어지는 탑재대(102)가 배치되어 있고, 이 탑재대(102)내에는 히터(103)가 마련되어 있다. 이 히터(103)는 히터 전원(도시하지 않음)으로부터 급전됨으로써 발열한다.
처리 용기(101)의 천벽에는, Ru막을 형성하기 위한 처리 가스나 퍼지 가스 등을 처리 용기(101)내에 샤워 형상으로 도입하기 위한 샤워 헤드(104)가 탑재대(102)와 대향하도록 마련되어 있다. 샤워 헤드(104)는 그 상부에 가스 도입구(105)를 갖고, 그 내부에 가스 확산 공간(106)이 형성되어 있고, 그 바닥면에는 다수의 가스 토출구멍(107)이 형성되어 있다. 가스 도입구(105)에는 가스 공급 배관(108)이 접속되어 있고, 가스 공급 배관(108)에는 Ru막을 형성하기 위한 처리 가스나 퍼지 가스 등을 공급하기 위한 가스 공급원(109)이 접속되어 있다. 또한, 가스 공급 배관(108)에는, 가스 유량 제어기, 밸브 등으로 이루어지는 가스 제어부(110)가 개재되어 있다. Ru막을 성막하기 위한 가스로서는, 상술한 바와 같이, 바람직한 것으로서 루테늄카르보닐(Ru3(CO)12)을 들 수 있다. 이 루테늄카르보닐은 열 분해에 의해 Ru막을 형성할 수 있다.
처리 용기(101)의 바닥부에는, 배기구(111)가 마련되어 있고, 이 배기구(111)에는 배기관(112)이 접속되어 있다. 배기관(112)에는 압력 조정을 행하는 스로틀 밸브(113) 및 진공 펌프(114)가 접속되어 있고, 처리 용기(101)내가 진공 흡인 가능하게 되어 있다.
탑재대(102)에는, 웨이퍼 반송용의 3개(2개만 도시)의 웨이퍼 지지 핀(116)이 탑재대(102)의 표면에 대해서 돌출 함몰 가능하게 마련되고, 이들 웨이퍼 지지 핀(116)은 지지판(117)에 고정되어 있다. 그리고, 웨이퍼 지지 핀(116)은, 에어 실린더 등의 구동 기구(18)에 의해 로드(119)를 승강함으로써, 지지판(117)을 거쳐서 승강된다. 또한, 부호(120)는 벨로우즈이다. 한편, 처리 용기(101)의 측벽에는, 웨이퍼 반출입구(121)가 형성되어 있고, 게이트 밸브 G를 개방한 상태에서 제 1 진공 반송실(11)과의 사이에서 웨이퍼 W의 반입출이 행해진다.
이러한 Ru막 성막 장치(14a)(14b)에 있어서는, 게이트 밸브 G를 개방하여, 웨이퍼 W를 탑재대(102)상에 탑재한 후, 게이트 밸브 G를 닫고, 처리 용기(101)내를 진공 펌프(114)에 의해 배기하여 처리 용기(101)내를 소정의 압력으로 조정하면서, 히터(103)로부터 탑재대(102)를 거쳐서 웨이퍼 W를 소정 온도로 가열한 상태에서, 가스 공급원(109)으로부터 가스 공급 배관(108) 및 샤워 헤드(104)를 거쳐서 처리 용기(101)내에 루테늄카르보닐(Ru3(CO)12) 가스 등의 처리 가스를 도입한다. 이에 의해, 웨이퍼 W상에서 처리 가스의 반응이 진행하여, Ru막을 형성할 수 있다.
Ru막의 성막에는, 루테늄카르보닐 이외의 다른 성막 원료, 예를 들면 상술한 바와 같은 루테늄의 펜타디에닐 화합물을 O2 가스와 같은 분해 가스와 함께 이용할 수 있다.
Ru막은, PVD에 의해 성막할 수도 있다. 단, 양호한 스텝 커버리지를 얻을 수 있는 CVD를 이용하는 것이 바람직하다.
<다른 공정에 이용하는 장치>
이상의 성막 시스템(1)에 의해 상기 실시 형태에 있어서의 추가층의 형성까지, 및 캡층의 형성을 행할 수 있지만, 추가층 형성 후에 행해지는 어닐 공정, CMP 공정은, 성막 시스템(1)으로부터 반출한 후의 웨이퍼 W에 대해, 어닐 장치, CMP 장치를 이용하여 행할 수 있다. 또한, 캡층을 형성한 후의 층간 절연막의 형성도 별도의 성막 장치에 의해 행할 수 있다. 이러한 장치는, 통상 이용되는 구성의 것이어도 좋다. 이들 장치와 성막 시스템(1)에서 Cu 배선 구조 형성 시스템을 구성하여, 제어부(40)와 동일한 기능을 갖는 공통의 제어부에 의해 일괄하여 제어하도록 함으로써, 상기 실시 형태에 나타낸 방법을 하나의 처리 레시피에 의해 일괄하여 제어할 수 있다.
<실험예>
다음에, 실험예에 대해 설명한다.
여기에서는, 도 7에 나타낸 바와 같이, 실리콘으로 이루어지는 블랭킷 웨이퍼상에 iPVD에 의해 배리어막으로서 TaN 5nm/Ta 5nm의 적층막을 형성한 후, 동일한 iPVD에 의해 Cu막 또는 Cu-2at.% Al 합금막을 50nm의 두께로 형성하고, 그 위에 표면막으로서, TaN 및 Ru의 적층막 또는 Ru단막(單膜)을 총 두께 3nm로 되도록 형성하고, 복수의 샘플을 제작했다. 또한, 표면막을 Ru단막으로 한 샘플은 표면막이 Cu 배선의 캡막을 모의하고, 표면막을 TaN 및 Ru의 적층막으로 한 샘플은 표면막이 Cu 배선의 배리어막 및 Ru 라이너막을 모의한다.
이들 샘플에 대해 대기중, 180℃에서 2시간의 어닐 처리를 행하여, 산소 배리어 특성을 조사했다. 표면막에 산소 배리어 특성이 없는 경우, 어닐중에 대기중의 산소가 표면막을 투과하여 Cu를 산화시켜 버린다. Cu가 산화된 개소는 막 중에서 검은 점으로 보이지만, 표면막이 산소 배리어 특성이 있는 경우, 산소는 표면막을 투과하지 않기 때문에 Cu막이나 Cu-Al 합금막에는 도달하지 않는다. 따라서, Cu는 산화되지 않고, 검은 점은 보이지 않는다. 이 때문에, 산소 배리어 특성의 평가는, 어닐 처리 후의 Cu막 또는 Cu-Al 합금막을 광학 현미경으로 관찰하여, 검은 점의 유무를 검출함으로써 행했다.
산소 배리어 특성의 조사 결과를 도 8에 나타낸다. 이 도면에 나타낸 바와 같이, Al을 첨가하지 않는 Cu막을 이용한 샘플은, 대기중의 어닐 후에 검은 점이 다수 관찰되었다. 이것은, 표면막의 배리어 특성이 불충분하기 때문에 Cu막이 산화된 결과이다. 또한, Cu 배선의 배리어막 및 Ru 라이너막을 모의한 TaN 및 Ru의 적층막을 표면막으로서 갖는 샘플에 있어서도, TaN막이 너무 얇았기 때문에, 산소 배리어 특성이 불충분한 것이라고 생각된다. 한편, Cu-Al 합금막을 이용한 샘플은, 어닐 후에 검은 점은 거의 관찰되지 않았다. 즉, Cu-Al 합금막을 이용함으로써 산소 배리어 특성을 획득했다.
Cu-Al 합금중의 Al는, Ru를 향해 확산하기 쉽고, Cu-Al 합금막에 있어서의, 표면막을 구성하는 Ru막과의 계면 근방에, Cu-Al 합금 중의 Al과 Ru막 중의 Ru의 합금(금속간 화합물을 포함함)을 형성하고, 그 Ru-Al 합금이 산소 배리어 특성을 갖는 것이라고 생각된다. 이와 같이, Cu 배선으로서 Cu-Al 합금막을 이용하여 캡층에 Ru막을 이용함으로써, 산소 배리어 특성을 갖는 것이 확인되었다. 또한, 동시에 Cu 배리어 특성도 갖는다고 생각된다.
또한, Cu-Al 합금막을 이용했을 경우에는, Cu 배선의 배리어막 및 Ru 라이너막을 모의한 TaN 및 Ru의 적층막을 표면막으로서 갖는 샘플에 있어서도, Cu-Al 합금막과 Ru막의 계면 근방에 형성되는 Ru-Al 합금에 의해 산소 배리어 특성이 강화되고, Cu막인 경우보다도 배리어막을 얇게 할 수 있는 것이라고 생각된다.
<다른 적용>
이상, 본 발명의 실시 형태에 대해 설명했지만, 본 발명은 상기 실시 형태로 한정되는 일 없이 여러 가지 변형 가능하다. 예를 들면, 상기 실시 형태에서는, 트렌치나 홀과 같은 오목부에 PVD에 의해 Cu-Al 합금을 매립한 예를 나타냈지만, Cu를 주체로 하여, 적어도 일부에 Cu-Al 합금 부분을 갖는 Al 함유 Cu막을 매립하면 좋고, 즉, Al 함유 Cu막은 Cu와 Cu-Al 합금을 포함하되, Cu의 양은 Cu-Al 합금의 양보다 많으며, 오목부의 전체를 Cu-Al 합금으로 매립하는 것에 한정되지 않는다.
예를 들면, 오목부에 Cu-Al 합금막을 형성한 후, 순수한 Cu막을 형성해도 좋고, 순수한 Cu막을 형성한 후에 순수한 Cu막의 상부만을 Cu-Al 합금으로 해도 좋다. 전자의 예로서는, CVD에 의해 Cu-Al 합금 시드막을 형성한 후, Cu 도금을 실시하는 방법에서도, PVD로 Cu-Al 합금막을 얇게 형성한 후, PVD로 순수한 Cu막을 형성하는 방법이라도 좋다. 이 경우에도 Cu-Al 합금막의 Al이 순수한 Cu막 중으로 확산하여, 캡층을 형성할 때에 Ru-Al 합금을 포함하는 계면층을 형성할 수 있다. 또한, 후자의 예로서는, 오목부에 PVD 또는 도금에 의해 순수한 Cu막을 매립한 후에 매립 부분의 상면 부분에 Al을 확산시켜, 그 부분을 Cu-Al 합금으로 하는 방법을 들 수 있다. 이 경우에도, 그 후에 캡층을 형성했을 때에 Ru-Al 합금을 포함하는 계면층을 형성할 수 있다. 이 경우에는, Al이 Cu의 입계에 존재하는 것에 의한 일렉트로마이그레이션 내성 향상 효과는 작기는 하지만, Cu 배선의 밀착성이 양호하게 됨으로써 일렉트로마이그레이션 내성을 확보할 수 있고, 또한, Cu 배선의 저항 값을 보다 낮게 할 수 있다고 하는 효과를 얻을 수 있다.
또한, 성막 시스템으로서는, 도 4와 같은 타입에 한정하지 않고, 하나의 반송 장치에 모든 성막 장치가 접속되어 있는 타입이어도 좋다. 또한, 도 4와 같은 멀티 챔버 타입의 시스템이 아니고, 배리어막, Ru 라이너막, Cu 합금막 중, 일부만을 동일한 성막 시스템으로 형성하고, 나머지 부분을 별개로 마련한 장치에 의해 대기 노출을 거쳐 성막하도록 해도 좋고, 전부를 별개의 장치로 대기 노출을 거쳐 성막하도록 해도 좋다.
또한, 상기 실시 형태에서는, 트렌치와 비아(홀)를 갖는 웨이퍼에 본 발명의 방법을 적용한 예를 나타냈지만, 트렌치만을 갖는 경우에도, 홀만을 갖는 경우에도 본 발명을 적용할 수 있는 것은 말할 필요도 없다. 또한, 싱글 대마신 구조, 더블 대마신 구조, 3차원 실장 구조 등, 여러 가지의 구조의 디바이스에 있어서의 매립에 적용할 수 있다. 또한, 상기 실시 형태에서는, 피처리 기판으로서 반도체 웨이퍼를, 예를 들어 설명했지만, 반도체 웨이퍼에는 실리콘 뿐만 아니라, GaAs, SiC, GaN 등의 화합물 반도체도 포함되고, 또한, 반도체 웨이퍼로 한정되지 않고, 액정 표시 장치 등의 FPD(플랫 패널 디스플레이)에 이용하는 유리 기판이나, 세라믹 기판 등에도 본 발명을 적용할 수 있음은 물론이다.
1 : 성막 시스템
12a, 12b : 배리어막 성막 장치
14a, 14b : Ru막 성막 장치
22a, 22b : Cu 합금막 성막 장치
24a, 24b : Cu막 성막 장치
201 : 하부 구조
202 : 층간 절연막
203 : 트렌치
204 : 배리어막
205 : Ru 라이너막
206 : Cu-Al 합금막
207 : 추가층
208 : Cu 배선
209 : 캡층
210 : 계면층
211 : 상층의 층간 절연막
W : 반도체 웨이퍼(피처리 기판)

Claims (14)

  1. 기판상에 오목부를 갖는 제 1 절연막을 마련하는 공정과,
    적어도 기판상의 제 1 절연막의 오목부의 표면에 Cu 확산의 배리어로서 기능하는 배리어막을 형성하는 공정과,
    Al 함유 Cu막으로 상기 오목부를 매립하는 공정과,
    상기 Al 함유 Cu막으로부터 Cu 배선을 형성하는 공정과,
    상기 Cu 배선 상에 Ru막으로 이루어지는 캡층을 형성하는 공정과,
    상기 캡층을 형성할 때의 열 또는 그 후의 가열 처리에 의해, 상기 Cu 배선의 상기 캡층과의 계면 근방에, Ru-Al 합금을 포함하는 계면층을 형성하는 공정과,
    상기 캡층 상에 제 2 절연막을 형성하는 공정을 포함하는
    것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  2. 제 1 항에 있어서,
    상기 Al 함유 Cu막은 Cu와 Cu-Al 합금을 포함하며, 상기 Cu의 양은 상기 Cu-Al 합금의 양보다 많은
    것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  3. 제 1 항에 있어서,
    상기 Al 함유 Cu막은, Cu-Al 합금막인 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  4. 제 3 항에 있어서,
    상기 기판이 수용된 처리 용기를 갖는 장치를 더 마련하고,
    Cu-Al 합금막은, 처리 용기내에 플라즈마 생성 가스에 의해 플라즈마를 생성하고, Cu-Al 합금으로 이루어지는 타겟으로부터 입자를 비상(飛翔)시키고, 비상된 입자를 상기 플라즈마 중에서 이온화시키고, 상기 기판에 바이어스 전력을 인가하여 이온을 기판 상으로 끌어당기는 것에 의해 형성되는 것을 특징으로 하는 Cu 배선 구의 형성 방법.
  5. 제 1 항에 있어서,
    상기 Al 함유 Cu막으로 상기 오목부를 매립하는 공정은, 먼저 Cu-Al 합금막을 형성하고, 그 다음에 순수한 Cu막을 형성하는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  6. 제 5 항에 있어서,
    상기 Cu-Al 합금막은 PVD에 의해 형성하고, 순수한 Cu막은 도금 또는 PVD에 의해 형성하는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  7. 제 1 항에 있어서,
    상기 Al 함유 Cu막으로 상기 오목부를 매립하는 공정은, 상기 오목부에 순수한 Cu막을 매립한 후, 상기 순수한 Cu막의 상부만을 Cu-Al 합금으로 하는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  8. 제 7 항에 있어서,
    상기 순수한 Cu막의 상면 부분에 Al을 확산시킴으로써 상기 순수한 Cu막의 상부만을 Cu-Al 합금으로 형성하는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 배리어막을 형성한 후, 상기 Al 함유 Cu막으로 상기 오목부를 매립하기 전에, Ru막을 형성하는 공정을 더 갖는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  10. 제 9 항에 있어서,
    상기 Ru막은, CVD에 의해 형성되는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 제 1 절연막 및 상기 제 2 절연막은, 층간 절연막이며, 저유전율막으로 이루어지는 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  12. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 오목부내의 Al 함유 Cu막으로부터 Cu 배선을 형성하는 공정은, 상기 Al 함유 Cu막 상에 추가층을 형성한 후, 상기 제 1 절연막 상면의 Al 함유 Cu막, 배리어막, 및 상기 추가층을 연마하여 제거하는 것인 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  13. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 배리어막은, Ti막, TiN막, Ta막, TaN막, Ta/TaN의 2층막, TaCN막, W막, WN막, WCN막, Zr막, ZrN막, V막, VN막, Nb막, NbN막으로 이루어지는 군으로부터 선택되는 것인 것을 특징으로 하는 Cu 배선 구조의 형성 방법.
  14. 컴퓨터상에서 동작하여, Cu 배선 형성 시스템을 제어하기 위한 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행시에, 청구항 1 내지 청구항 8 중 어느 한 청구항의 Cu 배선 구조의 형성 방법이 행해지도록, 컴퓨터로 하여금 상기 Cu 배선 형성 시스템을 제어하게 하는
    것을 특징으로 하는 기억 매체.
KR20140109056A 2013-08-22 2014-08-21 Cu 배선 구조의 형성 방법 KR20150022711A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2013-172492 2013-08-22
JP2013172492A JP6257217B2 (ja) 2013-08-22 2013-08-22 Cu配線構造の形成方法

Publications (1)

Publication Number Publication Date
KR20150022711A true KR20150022711A (ko) 2015-03-04

Family

ID=52480618

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20140109056A KR20150022711A (ko) 2013-08-22 2014-08-21 Cu 배선 구조의 형성 방법

Country Status (3)

Country Link
US (1) US9368418B2 (ko)
JP (1) JP6257217B2 (ko)
KR (1) KR20150022711A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160148153A (ko) * 2015-06-16 2016-12-26 삼성전자주식회사 반도체 장치
KR20170095164A (ko) * 2016-02-12 2017-08-22 도쿄엘렉트론가부시키가이샤 구리 금속화에 있어서의 자체 형성 배리어층 및 루테늄 금속 라이너의 집적화

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150176744A1 (en) * 2013-12-20 2015-06-25 Onesubsea Ip Uk Limited Gasket
US9595493B2 (en) * 2015-08-10 2017-03-14 Globalfoundries Inc. Reducing liner corrosion during metallization of semiconductor devices
WO2017146713A1 (en) * 2016-02-25 2017-08-31 Intel Corporation Conductive connectors having a ruthenium/aluminum-containing liner and methods of fabricating the same
US9837356B1 (en) 2016-06-07 2017-12-05 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance
US9911698B1 (en) * 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
WO2018063815A1 (en) * 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10930550B2 (en) * 2018-05-06 2021-02-23 Applied Materials, Inc. Barrier for copper metallization and methods of forming
US11173477B2 (en) 2018-07-23 2021-11-16 The Governing Council Of The University Of Toronto Catalysts for electrochemical CO2 reduction and associated methods

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2922089B2 (ja) 1993-06-24 1999-07-19 松下電工株式会社 スチーム発生器の取付構造
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
CN1248304C (zh) 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
KR100904779B1 (ko) 2004-10-19 2009-06-25 도쿄엘렉트론가부시키가이샤 플라즈마 스퍼터링에 의한 성막방법 및 성막장치
JP2006148075A (ja) 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
JP4646993B2 (ja) * 2008-02-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
KR101649714B1 (ko) 2008-03-21 2016-08-30 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호접속부를 위한 자기정렬 배리어 층
US7799681B2 (en) 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US20100081274A1 (en) 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
JP5507909B2 (ja) 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101924656B1 (ko) 2010-11-02 2018-12-03 우베 고산 가부시키가이샤 (아미드아미노알칸) 금속 화합물, 및 당해 금속 화합물을 사용한 금속 함유 박막의 제조 방법
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
KR20130077994A (ko) 2011-12-30 2013-07-10 동부대우전자 주식회사 벽걸이형 미니 드럼세탁기
EP2728053B1 (en) 2011-12-08 2017-03-01 Dongbu Daewoo Electronics Corporation Wall-mounted drum-type washing machine
JP6013901B2 (ja) 2012-12-20 2016-10-25 東京エレクトロン株式会社 Cu配線の形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160148153A (ko) * 2015-06-16 2016-12-26 삼성전자주식회사 반도체 장치
KR20170095164A (ko) * 2016-02-12 2017-08-22 도쿄엘렉트론가부시키가이샤 구리 금속화에 있어서의 자체 형성 배리어층 및 루테늄 금속 라이너의 집적화

Also Published As

Publication number Publication date
US20150056385A1 (en) 2015-02-26
US9368418B2 (en) 2016-06-14
JP2015041708A (ja) 2015-03-02
JP6257217B2 (ja) 2018-01-10

Similar Documents

Publication Publication Date Title
KR20150022711A (ko) Cu 배선 구조의 형성 방법
WO2012133400A1 (ja) Cu配線の形成方法
KR20180069776A (ko) Cu 배선의 형성 방법 및 기억 매체
KR101662369B1 (ko) Cu 배선의 형성 방법 및 기억매체
KR101739613B1 (ko) Cu 배선의 형성 방법
KR20160068668A (ko) Cu 배선의 형성 방법 및 성막 시스템, 기억 매체
KR102008475B1 (ko) Cu 배선의 형성 방법 및 반도체 장치의 제조 방법
JP4324617B2 (ja) スパッタ成膜方法及びスパッタ成膜装置
KR20180117575A (ko) Cu 배선의 제조 방법 및 Cu 배선 제조 시스템
KR20170026165A (ko) 반도체 장치의 제조 방법 및 기억 매체
JP2012216765A (ja) Cu配線の形成方法および成膜システム
KR101800487B1 (ko) 동(Cu) 배선의 형성 방법 및 기억매체
KR20180068328A (ko) 구리 배선의 제조 방법
US20170025308A1 (en) Method of cleaning bottom of via hole and method of manufacturing semiconductor device
JP2015220315A (ja) Cu配線の製造方法
WO2014010333A1 (ja) Cu配線の形成方法およびコンピュータ読み取り可能な記憶媒体
KR101357531B1 (ko) Cu 배선의 형성 방법 및 Cu막의 성막 방법, 성막 시스템, 및 기억 매체

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101002769; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20170608

Effective date: 20181212