TW201823159A - 漸變或多層矽碳化物膜之基於遠端電漿的沉積 - Google Patents

漸變或多層矽碳化物膜之基於遠端電漿的沉積 Download PDF

Info

Publication number
TW201823159A
TW201823159A TW106133254A TW106133254A TW201823159A TW 201823159 A TW201823159 A TW 201823159A TW 106133254 A TW106133254 A TW 106133254A TW 106133254 A TW106133254 A TW 106133254A TW 201823159 A TW201823159 A TW 201823159A
Authority
TW
Taiwan
Prior art keywords
carbide film
tantalum carbide
graded
depositing
film
Prior art date
Application number
TW106133254A
Other languages
English (en)
Inventor
巴德里 N 凡拉德拉彥
龔伯
袁光璧
桂喆
賴鋒源
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201823159A publication Critical patent/TW201823159A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

提供利用遠端電漿沉積漸變或多層矽碳化物膜的方法及設備。漸變或多層矽碳化物膜可在提供一或多種有機矽前驅物至反應室中之基板上的製程條件下形成。自遠端電漿源將處於實質上低能狀態之源氣體自由基如處於基態之 氫自由基提供至反應室中。此外,使共反應物氣體朝向反應室流動。在某些實施例中,共反應物氣體之自由基係自遠端電漿源提供至反應室中。共反應物氣體的流率可以增加或漸變的方式隨著時間變化,以形成自漸變之矽碳化物膜之第一表面至第二表面具有組成梯度的多層矽碳化物膜或漸變的矽碳化物膜。

Description

漸變或多層矽碳化物膜之基於遠端電漿的沉積
本發明係關於矽碳化物膜之形成,更具體而言係關於使用遠端電漿來沉積漸變的(graded)或多層矽碳化物膜。
薄膜中的矽碳化物(SiC)類別具有獨特的物理、化學、及機械特性且係用於各種應用中尤其是用於積體電路應用中。SiC薄膜的種類包含摻雜氧之矽碳化物(亦知為矽之碳氧化物)、摻雜氮的矽碳化物(亦知為矽之碳氮化物)、及摻雜氧與氮的矽碳化物(亦知為矽之碳氮氧化物)。
本發明係關於一種漸變的矽碳化物膜的沉積方法。此方法包含在一反應室中提供一基板、使一有機矽前驅物流至該基板上、使一共反應物氣體朝向該反應室流動、在該反應室遠端的一電漿源中提供一源氣體、在該電漿源中自該源氣體產生該源氣體的一或多種自由基、及將該源氣體的該一或多種自由基導至該基板上。該源氣體之該一或多種自由基的所有者或實質上所有者係處於與該有機矽前驅物反應的一實質低能狀態。該方法更包含隨著時間改變該共反應物氣體的一流率以形成一漸變的矽碳化物膜,該漸變之矽碳化物膜自該漸變之矽碳化物膜的一第一表面至與該第一表面相對之一第二表面具有一組成梯度。
在某些實施例中,使該共反應物氣體朝向該反應室流動包含使該共反應物氣體流經該電漿源。該方法更包含在該電漿源中自該共反應物氣體產生該共反應物氣體的一或多種自由基以及將該共反應物氣體的該一或多種自由基導至該基板上。在某些實施例中,使該共反應物氣體朝向該反應室流動包含使該共反應物氣體沿著與該有機矽前驅物相同的一相同流動路徑流動。在某些實施例中,該共反應物氣體為氧氣。在某些實施例中,該漸變之矽碳化物膜係為一漸變之摻雜氧的矽碳化物(SiCO)膜。在某些實施例中,該漸變之矽碳化物膜之該組成梯度可具有自該矽碳化物膜之該第一表面至該第二表面而遞增的碳濃度。在該漸變之矽碳化物膜之該第一表面處的碳濃度可少於約20%且在該漸變之矽碳化物膜之該第二表面處的碳濃度可大於約20%。在某些實施例中,該漸變之矽碳化物膜係以一不破真空的方式形成。在某些實施例中,該源氣體之處於該實質上低能狀態的該自由基包含處於基態的氫原子自由基。
本發明亦關於一種漸變之矽碳化物膜的沉積設備。該設備包含一反應室、在該反應室遠端的一電漿源、用以在該反應室中支撐一基板的一基板支撐件、及用以利用指令進行下列操作的一控制器:在該反應室中提供該基板;使一有機矽前驅物在該基板上流動;使一共反應物氣體經由該電漿源朝向該反應室流動;在該電漿源中提供一源氣體;在該電漿源中自該源氣體產生該源氣體的一或多種自由基;將該源氣體的該一或多種自由基導至該基板上,其中該源氣體之該一或多種自由基的所有者或實質上所有者係處於與該有機矽前驅物反應的一實質低能狀態;及隨著時間改變該共反應物氣體的一流率以形成一漸變的矽碳化物膜,該漸變之矽碳化物膜自該漸變之矽碳化物膜的一第一表面至與該第一表面相對之一第二表面具有一組成梯度。
在某些實施例中,該控制器係更用以利用指令進行下列操作:在該電漿源中自該共反應物氣體產生該共反應物氣體的一或多種自由基以及將該共反應物氣體的該一或多種自由基導至該基板上。在某些實施例中,該共反應物氣體為氧氣。在某些實施例中,該漸變之矽碳化物膜為SiCO膜。在某些實施例中,該漸變之矽碳化物膜之該組成梯度可具有自該矽碳化物膜之該第一表面至該第二表面的一碳濃度增加。在該漸變之矽碳化物膜之該第一表面處的一碳濃度可少於約20%且在該漸變之矽碳化物膜之該第二表面處的一碳濃度可大於約20%。
本發明亦關於一種多層矽碳化物膜的沉積方法。此方法包含在一反應室中提供一基板、使一有機矽前驅物在該基板上流動、使一共反應物氣體朝向該反應室流動、在該反應室遠端的一電漿源中提供一源氣體、在該電漿源中自該源氣體產生該源氣體的一或多種自由基、及將該源氣體的該一或多種自由基導至該基板上。該源氣體之該一或多種自由基的所有者或實質上所有者係處於與該有機矽前驅物反應的一實質低能狀態。該方法更包含隨著時間以增加方式改變該共反應物氣體的一流率以形成一多層矽碳化物膜,該多層矽碳化物膜橫跨該多層矽碳化物膜的一厚度具有一變化的濃度。
在某些實施例中,使該共反應物氣體朝向該反應室流動包含使該共反應物氣體流經該電漿源。在某些實施例中,使該共反應物氣體朝向該反應室流動包含使該共反應物氣體沿著與該有機矽前驅物相同的一相同流動路徑流動。在某些實施例中,該共反應物氣體包含二氧化碳(CO2 )、一氧化碳(CO)、水(H2 O)、甲醇(CH3 OH)、氧(O2 )、臭氧(O3 )、氮(N2 )、一氧化二氮(N2 O)、氨(NH3 )、二氮烯(N2 H2 )、甲烷(CH4 )、乙烷(C2 H6 )、乙炔(C2 H2 )、乙烯(C2 H4 )、二硼烷(B2 H6 )、或其組合。在某些實施例中,該多層矽碳化物膜中的每一層自該多層矽碳化物膜的一第一表面至與該第一表面相對的一第二表面皆具有一碳濃度增加。在某些實施例中,該多層矽碳化物膜係以一不破真空的方式形成。在某些實施例中,該源氣體之處於該實質上低能狀態的該自由基包含處於基態的氫原子自由基。
本發明係關於一種漸變之矽碳化物膜的沉積方法。此方法包含在一反應室中提供一基板、使一有機矽前驅物在該基板上流動、使一共反應物氣體朝向該反應室流動、在該反應室遠端的一電漿源中提供一源氣體、在該電漿源中自該源氣體產生該源氣體的一或多種自由基、及將該源氣體的該一或多種自由基導至該基板上。該源氣體之該一或多種自由基的所有者或實質上所有者係處於與該有機矽前驅物反應的一實質低能狀態。該方法更包含隨著時間改變該有機矽前驅物的一流率以形成一漸變的矽碳化物膜,該漸變之矽碳化物膜自該漸變之矽碳化物膜的一第一表面至與該第一表面相對之一第二表面具有一組成梯度。
在某些實施例中,隨著時間改變該有機矽前驅物之該流率係以不改變該共反應物氣體或該源氣體之一流率的方式進行。在某些實施例中,使該共反應物氣體朝向該反應室流動包含使該共反應物氣體流經該電漿源。在某些實施例中,使該共反應物氣體朝向該反應室流動包含使該共反應物氣體沿著與該有機矽前驅物相同的一相同流動路徑流動。在某些實施例中,該漸變之矽碳化物膜為SiCO膜。在某些實施例中,該漸變之矽碳化物膜之該組成梯度可具有自該矽碳化物膜之該第一表面至該第二表面的一碳濃度增加。在該漸變之矽碳化物膜之該第一表面處的一碳濃度可少於約20%且在該漸變之矽碳化物膜之該第二表面處的一碳濃度可大於約20%。在某些實施例中,該漸變之矽碳化物膜係以一不破真空的方式形成。在某些實施例中,該源氣體之處於該實質上低能狀態的該自由基包含處於基態的氫原子自由基。
下面將參考圖示進一步說明此些與其他實施例。
在下面的敘述中將提供各種特定細節以提供對本發明概念的全面瞭解。本發明概念可在缺乏部分或全部此些特定細節的情況下實施。在其他的情況下,不詳細說明習知的製程操作以免不必要地模糊本發明概念。雖然將利用特定實施例來說明本發明,但應瞭解,其意不在將本發明限制至所述實施例。
在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造完成之積體電路」等詞可互換使用。熟知此項技藝者當瞭解,「部分製造完成之積體電路」一詞可指於矽晶圓上進行之積體電路製造之眾多階段中之任何階段期間的矽晶圓。半導體裝置業界中所用的晶圓或基板通常具有200 mm、300 mm、或450 mm的直徑。下面的詳細說明假設本發明係於晶圓上實施。然而,本發明不限於此。工作件可具有各種形狀、各種尺寸、及各種材料。除了半導體晶圓外,可受惠於本發明的其他工作件包含各種物品如印刷電路板等。 導論
半導體裝置的製造通常涉及在積體製造製程中將一或多層薄膜沉積至基板上。在製造程序的某些態樣中,利用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、或任何其他適合的沉積方法沉積各種類型的薄膜如矽碳化物、矽之碳氧化物、矽之碳氮化物、及矽之碳氮氧化物。文中所用之矽碳化物一詞包含經摻雜的矽碳化物如摻雜氧之矽碳化物、摻雜氮之矽碳化物、及摻雜氮與氧之矽碳化物。對於許多種類而言,經摻雜之矽碳化物具有至多約50原子%的摻雜原子,無論此些摻雜原子為氧、氮、或其他元素之原子。摻雜程度提供期望的薄膜特性。
可沉積之薄膜的一例示性類型包含矽之碳氧化物。文中所用之矽之碳氧化物一詞可指包含矽、氧、及碳的一化合物。矽之碳氧化物一詞可與摻雜氧之矽碳化物一詞交互使用,其可包含SiCO或SiOC複數薄膜。
用以沉積矽碳化物之前驅物分子可包含具有矽-氫(Si–H)及/或矽-矽(Si–Si)鍵結以及矽-碳(Si–C)鍵結的含矽分子。用以沉積矽之碳氧化物用之前驅物分子包含具有矽-氫(Si–H)鍵結及/或矽-矽(Si–Si)鍵結、及矽-氧(Si–O)鍵結及/或矽-碳(Si–C)鍵結的含矽分子。用以沉積矽之碳氮化物用的前驅物分子包含具有矽-氫(Si–H)鍵結及/或矽-矽(Si–Si)鍵結、及矽-氮(Si–N)鍵結及/或矽-碳(Si–C)鍵結的含矽分子 。用以沉積矽之碳氮氧化物用之前驅物分子包含具有矽-氫(Si–H)鍵結及/或矽-矽(Si–Si)鍵結、及矽-氮(Si–N)鍵結、矽-氧(Si-O)鍵結及/或矽-碳(Si–C)鍵結的含矽分子。目前的PECVD製程可使用原位電漿處理,在原位電漿處理中直接在基板鄰近提供電漿。
業界發現,沉積高品質的矽碳化物薄膜可能會遇到某些挑戰如提供具有優異階梯覆蓋能力、低介電常數、高崩潰電壓、低漏電流、高孔隙度及/或覆蓋裸露金屬表面但不氧化金屬表面的薄膜。
雖然本發明不受到任何特定理論的限制,但一般相信,在典型PECVD製程中的電漿條件會以產生不利影響的方式片段化含矽前驅物分子。例如,PECVD可能會打斷前驅物分子中的Si–O及/或Si–C鍵結而產生高度反應性的自由基或具有高黏滯係數的其他片段類型。所得之經摻雜之矽碳化物膜的片段可能包含具有「懸鍵」的矽、碳、及/或氧原子,意指矽、碳、及/或氧原子具有反應性之未成對的價電子。由於反應性前驅物片段可不成比例地黏附至凹陷特徵部中之側壁與其他結構的上部區域,因此前驅物分子及其片段的高黏滯係數可沉積出具有不良階梯覆蓋能力的矽碳化物膜。
懸鍵可在經沉積之矽之碳氧化物或矽之碳氮氧化物薄膜中產生矽醇基團(Si–OH)。結果,薄膜可具有不利的高介電常數。由於直接電漿條件傾向於自沉積薄膜擷取出碳,故亦不利地影響薄膜品質。
又,懸鍵可在沉積之矽碳化物膜中產生較多的矽-氫鍵結(Si–H)。在直接電漿沉積條件中Si–C的斷鍵可被Si–H所取代。矽碳化物膜中Si–H 鍵結的存在可產生具有不良電特性的薄膜。例如,由於Si–H鍵結提供電子的漏電路徑,因此Si–H鍵結的存在可減少崩潰電壓並可增加漏電流。
又,懸鍵可導致矽碳化物膜中不受控制的化學或形態結構。在某些情況中,此類結構為具有低孔隙度或無孔隙度的緻密片段俾使薄膜具有不被接受的高介電常數。缺乏孔隙度可為直接電漿條件打斷環矽氧烷中之Si–C及/或Si–O的結果,若非如此可在超低介電常數介電材料中提供孔隙度。
由於打斷前驅物分子的能量可為在表面處產生大量離子轟擊的低頻能量,因此PECVD中常使用的直接電漿條件可導致沉積的方向性。方向性沉積可導致沉積出具有不良階梯覆蓋能力的矽碳化物膜。直接電漿為在沉積期間滯留在基板表面鄰近(有時僅和基板表面隔著電漿鞘)的電漿(適濃度的電子及正離子)。
典型的PECVD製程有時不適合在經暴露的銅或其他金屬表面上方沉積矽碳化物膜,因為此類製程可氧化金屬。PECVD製程可能會使用氧化劑如氧(O2 )、臭氧(O3 )、二氧化碳(CO2 )、或其他氧化物種而形成矽之碳氧化物薄膜。 在沉積期間基板表面處之環境
圖1A例示沉積於基板上方之例示性矽碳化物膜的橫剖面。矽碳化物膜101可在於基板100鄰近產生相對溫和環境的製程條件下形成。基板100可為任何晶圓、半導體晶圓、部分製造完成之積體電路、印刷電路板、顯示螢幕、或其他適合的工作件。沉積矽碳化物膜101之製程可涉及一或多種含矽前驅物,此些含矽前驅物具有一或多Si–H鍵結及/或一或多Si–Si鍵結以及取決於欲產生之摻雜結構的類型可具有其他鍵結如Si–C鍵結、Si–O鍵結、及/或Si–N鍵結。
圖1B–1D中顯示使用摻雜氧之矽碳化物膜的某些應用。在某些實施例中,含矽前驅物可包含含矽-氧前驅物、含矽-氮前驅物、及/或含矽-碳前驅物。含矽-氧前驅物可包含一或多Si–O鍵結、含矽-氮前驅物可包含一或多Si–N鍵結、含矽-碳前驅物可包含一或多Si–C鍵結。在某些實施例中,例如,含矽前驅物可包含具有Si–O與Si–C鍵結的單一反應物A。在某些實施例中,含矽前驅物可包含具有Si–O鍵結的反應物B及具有Si–C鍵結的反應物C。應瞭解,在本發明的範疇中可使用任何數目之適當反應物。
含矽前驅物包含一或多Si–H鍵結及/或一或多Si–Si鍵結。在沉積製程期間,Si–H鍵結及/或Si–Si鍵結被打斷並具有在沉積矽碳化物膜101中在含矽前驅物之間形成鍵結用之反應位置的功用。被打斷的鍵結亦可具有在沉積之期間或之後所進行之熱處理期間交聯用之位置的功用。在反應位置處的鍵結及交聯可共同形成所得之矽碳化物膜101中的主要骨幹或基質。
在某些實施例中,製程條件可實質上保留矽碳化物膜101之剛沉積膜層中的Si–C鍵結及若存在的Si–O與Si–N鍵結。因此,與基板100相鄰的反應條件提供 Si–H及/或Si–Si鍵結的選擇性斷鍵如自已打斷的Si–H鍵結擷取氫,但反應條件不會自Si–O鍵結擷取氧、自Si–N鍵結擷取氮、或自Si–C鍵結擷取碳。然而,如下所討論的,導入共反應物如氧可Si–C鍵結擷取碳。一般而言,所述的反應條件存在於基板100的裸露面處(矽碳化物膜101的沉積面)。其更可存在於基板100上方的某距離處如基板100上方約0.5微米至約150毫米處。事實上,前驅物的活化可在基板100上方一實質距離處以氣相發生。通常,相關的反應條件在基板100之整個裸露面上方均勻或實質上均勻的,但某些應用可允許某種程度的變異。
除了含矽前驅物之外,與工作件(如基板100)相鄰之環境可包含一或多種自由基物種較佳地為處於實質上低能狀態的自由基物種。此類物種的一實例包含氫原子自由基。在某些實施例中,所有、或實質上所有、或實質部分的氫原子自由基可處於基態如與工作件相鄰之至少約90%或95%的氫原子自由基係處於基態。在某些實施例中,源氣體係於載氣如氦氣中提供。例如,氫氣可提供於氦載氣中得到約1–10%之氫濃度。可選擇載氣如氦氣的壓力、分量及其他製程條件俾使氫原子以處於低能狀態之自由基的形式與基板100相遇而不重新結合。
如他處所解釋的,可將氫氣供給至遠端電漿源中而產生氫原子自由基或氫自由基。氫原子自由基一旦被產生後,便可處於經激發的能態。例如,處於經激發之能態的氫可具有至少10.2 eV的能量(第一個經激發的能態)。經激發的氫原子自由基可造成含矽前驅物非選擇性的分解。例如,處於激發態的氫原子自由基可輕易地打斷Si–H、Si–Si、Si–N、Si–O、及Si–C鍵結,這可改變矽碳化物膜101的組成、或物理或電特性。在某些實施例中,當經激發的氫原子自由基失去其能量或鬆弛,經激發的氫原子自由基可變成實質低能狀態的氫原子自由基或基態的氫原子自由基。處於實質上低能狀態或基態的氫原子自由基可選擇性地打斷Si–H與Si–Si鍵結但大致上保留Si–O、Si–N、及Si–C鍵結。在某些實施例中,可提供製程條件俾使經激發的氫原子自由基失去能量或鬆弛而形成實質上低能狀態或基態的氫原子自由基。例如,可設計遠端電漿源或相關元件俾使自遠端電漿源擴散至基板100的滯留時間長於經激發的氫原子自由基的能量鬆弛時間。經激發的氫原子自由基的能量鬆弛時間可約等於或少於約1x10-3 秒。
可藉由各種技術來達到實質部分之氫原子自由基係處於基態的情狀。設計某些設備如下述之設備以達到此情狀。可測試與調諧設備特徵與製程控制特徵以產生實質部分之氫原子自由基係處於基態的溫和情狀。例如,可針對電漿源的下游即靠近基板100處的帶電粒子操作與測試設備。可調諧製程與設備直到實質上無帶電物種存在於基板100附近。此外,可將設備與製程特徵調諧至開始自標準前驅物如三甲基矽烷形成矽碳化物膜的一配置。選擇能支持此類薄膜沉積的相對溫和條件。
自由基物種的其他實例包含含氧物種如元素氧自由基(原子或雙原子)、含氮物種如元素氮自由基(原子或雙原子)、及含N–H之自由基如氨自由基,其中氮係選擇性地含入薄膜中。含N–H之自由基的實例包含但不限於甲胺、二甲胺、苯胺的自由基。上述之自由基物種可自包含氫、氮、含N–H物種、或其混合物的源氣體所產生。在某些實施例中,沉積薄膜之實質上所有或實質部分之原子係由前驅物分子所提供。在此類情況中,用以驅動沉積反應之低能自由基可為氫一者或不會實質上對沉積膜層之質量有貢獻的其他物種。在某些實施例中,如下面將更詳細討論的,可以遠端電漿源產生自由基物種。在某些實施例中,更高能態的自由基或離子可潛在地存在於晶圓平面附近。
在某些實施例中,製程條件使用處於足以打斷Si–H鍵結及/或Si–Si鍵結但實質上保留Si–O、Si–N、及Si–C鍵結之實質低能狀態的自由基物種。此類製程條件可能不具有實質量之處於高能態如高於基態之能態的離子、電子、或自由基物種。在某些實施例中,在與薄膜相鄰之區域中的離子濃度係不大於約107 /cm3 。實質量之離子或高能自由基的存在傾向於打斷Si–O、Si–N、及Si–C鍵結,這可產生具有非所欲之電特性(如高介電常數及/或低崩潰電壓)及不良順形性的薄膜。一般相信,過度的反應環境會產生具有高黏滯係數(代表以化學或物理方式黏附至工作件側壁的傾向)的反應性前驅物片段,導致不良的順形性。
含矽前驅物通常利用其他物種尤其是載氣輸送至鄰近基板100之環境中。在某些實施例中,含矽前驅物係與自由基物種及物種(包含其他反應物種及/或載氣)一起存在。在某些實施例中,含矽前驅物可以混合物的形式導入。在沉積反應表面的上游,含矽前驅物可與惰性載氣混合。例示性的惰性載氣包含但不限於氮(N2 )、氬(Ar)、及氦(He)。此外,可以具有主要物種與次要物種的混合物導入含矽前驅物,其中次要物種包含以相對低之濃度存在於矽碳化物膜101的某些元素或結構特徵(如環形結構、籠形結構、不飽和鍵結等)。多種前驅物可以等莫耳的方式存在、或以適合在所得之矽碳化物膜101中形成主要骨幹或基質的相對類似比例存在。在其他實施例中,不同前驅物的相對量係實質上偏離等體積莫耳濃度。
在某些實施例中,一或多種含矽前驅物提供了矽碳化物膜101之實質所有質量,僅有來自遠端電漿之少量的氫或其他元件提供薄膜質量之少於約5原子%或少於約2原子%。在某些實施例中,只有自由基物種及一或多種含矽前驅物對沉積矽碳化物膜101的組成有貢獻。在其他實施例中,沉積反應包含非含矽前驅物亦非自由基物種的共反應物。此類共反應物的實例包含二氧化碳(CO2 )、一氧化碳(CO)、水(H2 O)、甲醇(CH3 OH)、氧(O2 )、臭氧(O3 )、氮(N2 )、一氧化二氮(N2 O)、氨(NH3 )、二氮烯(N2 H2 )、甲烷(CH4 )、乙烷(C2 H6 )、乙炔(C2 H2 )、乙烯(C2 H4 )、二硼烷(B2 H6 )、及其組合。此類材料可用以作為氮化劑、氧化劑、反應物等。在某些情況中,藉著移除含矽前驅物所提供之部分碳,其可被用來調諧沉積薄膜中的碳量。在使用非氫之共反應物的某些實施例中,共反應物係藉由與含矽前驅物相同的流動路徑而被導入反應室中;包含噴淋頭且通常不直接暴露至電漿的路徑。在某些實施例中,在沉積期間氧及/或二氧化碳與前驅物一起導入藉著自薄膜或前驅物移除碳而改變矽碳化物膜101的組成。在使用非氫之共反應物的某些實施例中,共反應物係藉由與氫相同的流動路徑而被導入反應室中,因此至少部分共反應物被轉變為自由基及/或離子。在此類實施例中,氫自由基與共反應物 自由基兩者皆與含矽前驅物(複數含矽前驅物)反應而產生沉積的矽碳化物膜101。
在使用共反應物且共反應物與會被轉變為自由基之物種(如氫)一起導入反應室的某些實施例中,相對於其他氣體(包含自由基之源氣體如氫及任何載氣(複數載氣)如氦),以相對少量將共反應物提供至反應室中。例如,共反應物可以約0.05質量%或更少、0.01質量%或更少、或0.001質量%或更少的比例存在於製程氣體中。例如,反應物混合物(進入電漿源中者)可為約10–20每分鐘升(L/m)之He、約200–500每分鐘標準立方公分(sccm)之H2 、及約1–10 sccm之氧。當共反應物與含矽前驅物一起被導入反應室(例如經由噴淋頭)時,其可以較高的濃度存在如約2%或更少、或約0.1% 或更少。當共反應物為相對弱之反應物(如弱氧化劑如二氧化碳)時,其可以甚至更高的濃度如約10%或更少、或約4%或更少的濃度存在。
在鄰近基板100之環境中的溫度可為能促進沉積反應的任何適當溫度,但有時其會受到包含矽碳化物膜101之裝置之應用的限制。在某些實施例中,在沉積矽碳化物膜101期間在鄰近基板100之環境中的溫度大多可藉由支撐基板100於其上之平臺的溫度所控制。在某些實施例中,操作溫度可介於約50°C至約500°C之間。例如,在許多的積體電路應用中操作溫度可介於約250°C至約400°C之間。在某些實施例中,增加溫度可導致基板表面上的交聯增加。
在鄰近基板100之環境中的壓力可為能在反應室中產生反應性自由基的任何適當壓力。在某些實施例中,壓力可約為35 Torr或更低。例如,在使用微波生成之電漿的實施例中,壓力可介於約10 Torr至約20 Torr之間。在其他實例中,如在使用射頻(RF)生成之電漿的實施例中,壓力可少於約5 Torr或介於約0.2 Torr至約5 Torr之間。
圖1B–1D例示在各種應用中包含矽碳化物膜之結構的橫剖面。圖1B例示電晶體之閘電極結構之側壁上的矽碳化物垂直結構。圖1C例示在氣隙式金屬化層中之銅線之裸露側壁上的矽碳化物垂直結構。圖1D例示多孔介電材料之矽碳化物孔隙封填物。下面將更詳細地討論此些應用的每一者。 前驅物之化學結構
如所討論的,在形成矽碳化物膜時所使用之前驅物可包含含矽前驅物,其中至少某些含矽前驅物具有至少一Si–H及/或至少一Si–Si鍵結。在某些實施例中,含矽前驅物在每個矽原子上具多具有一氫原子。是以,例如具有一矽原子的前驅物具有至多一氫原子鍵結至該矽原子;具有兩個矽原子的前驅物具有一氫原子鍵結至一矽原子並選擇性地具有另一氫原子鍵結至第二矽原子;具有三個矽原子的前驅物具有一氫原子鍵結至一矽原子並選擇性地具有一或兩個氫原子鍵結至剩下之矽原子的一或兩者;以此類推。此外,含矽前驅物可具有至少一Si–O鍵結、至少一Si–N鍵結、及/或至少一Si–C鍵結。雖然在形成矽碳化物膜時可使用任何數目之適合前驅物,但至少部分前驅物將包含具有下列者的含矽前驅物:至少一Si–H鍵結或Si–Si鍵結、及選擇性的至少一Si–O鍵結、Si–N鍵結、及/或Si–C鍵結。在各種實施例中,含矽前驅物(複數前驅物)不包含O–C或N–C鍵結;例如前驅物(複數前驅物)不包含烷氧基(-O-R),其中R為一有機基團如烴基團、或胺(-NR1 R2 )基團,其中R1 與R2 彼此獨立地為氫或有機基團。
在某些實施例中,針對矽碳化物膜所提供之碳的至少部分係來自於含矽前驅物上的一或多個烴官能部分。此類官能部分可來自於烷基團、烯基團、炔基團、芳基團等。在某些實施例中,烴基團具有單一的碳原子以最小化在沉積期間打斷反應之Si–H及/或Si–Si鍵結的空間阻礙。然而,前驅物並不限於單碳基團;可使用較高數目之碳原子如2、3、4、5、或6個碳原子。在某些實施例中,烴基團為線性的。在某些實施例中,烴基團為環形的。
在某些實施例中,含矽前驅物落入一化學類別中。應瞭解,可使用其他類別之含矽前驅物且含矽前驅物並不限於下面所討論的化學類別。
在某些實施例中,含矽前驅物可為矽氧烷。在某些實施例中,矽氧烷可為環形的。環矽氧烷可包含環四矽氧烷如2,4,6,8-四甲基環四矽氧烷(TMCTS)、十甲基環四矽氧烷(OMCTS)、及七甲基環四矽氧烷(HMCTS)。其他環矽氧烷亦可包含但不限於環三矽氧烷與環五矽氧烷。使用環矽氧烷的實施例為環形結構,其可將孔隙度導入摻雜氧的矽碳化物膜且孔隙的尺寸係對應至環的半徑。例如,環四矽氧烷之環可具有約6.7 Å之半徑。
在某些實施例中,矽氧烷可具有三維或籠形結構。圖2例示代表籠形矽氧烷前驅物的實例。籠形矽氧烷具有藉由氧原子彼此橋接而形成多面體或任何3-D結構的矽原子。籠形矽氧烷前驅物分子的一實例為倍半矽氧烷。籠形矽氧烷結構係更詳細地載於Cleemput等人之共有的美國專利US 6,576,345中,將其所有內容包含於此作為所有目的之參考。類似於環矽氧烷,籠形矽氧烷可在摻雜氧之矽碳化物膜中導入孔隙度。在某些實施例中,孔隙度的規模為中孔。
在某些實施例中,矽氧烷可為線性的。適合之線性矽氧烷的實例包含但不限於二矽氧烷如五甲基二矽氧烷(PMDSO)與四甲基二矽氧烷(TMDSO)以及三矽氧烷如六甲基三矽氧烷、七甲基三矽氧烷。
在某些實施例中,含矽前驅物可為烷基矽烷或其他烴取代的矽烷。烷基矽烷包含中央矽原子以及鍵結至中央矽原子的一或多個烷基團與鍵結至中央矽原子的一或多個氫原子。在某些實施例中,烷基團中的任一或多者包含 1–5 碳原子。烴基團可為飽和或未飽和的(如烯(如乙烯)、炔、及芳香基團)。實例包含但不限於三甲基矽烷(3MS)、三乙基矽烷、五甲基二矽甲烷((CH3 )2 Si-CH2 -Si(CH3 )3 )、及二甲基矽烷(2MS)。
在某些實施例中,含矽前驅物可為烷氧基矽烷。烷氧基矽烷 包含中央矽原子及鍵結至中央矽原子之一或多個烷氧基團及鍵結至中央矽原子的一或多個氫原子。實例包含但不限於三甲氧基矽烷(TMOS)、二甲氧基矽烷(DMOS)、甲氧基矽烷(MOS)、甲基二甲氧基矽烷(MDMOS)、二乙氧基甲基矽烷(DEMS)、二甲基乙氧基矽烷(DMES)、及二甲基甲氧基矽烷(DMMOS)。
此外,可使用二矽烷、三矽烷、或其他較高矽烷取代單矽烷。來自烷基矽烷類別之此類二矽烷的實例為六甲基二矽烷(HMDS)。來自烷基矽烷類別之此類二矽烷的另一實例可包含五甲基二矽烷(PMDS)。其他類型的烷基矽烷可包含可具有分支聚合結構以及鍵結至矽原子之碳與鍵結至矽原子之烷基團的烷基碳矽烷。實例包含二甲基三甲基矽基甲烷(DTMSM)與二-二甲基矽基乙烷(BDMSE)。在某些實施例中,多個矽原子中的一者可具有與其附接的含碳或含烴基團而多個矽原子中的一者可具有與其附接的氫原子。
在沉積矽碳化物時,在製程氣體中可存在多種含矽前驅物。例如,可一起使用矽氧烷與烷基矽烷或可一起使用矽氧烷與烷氧基矽烷。可基於選定之前驅物的化學堂以及所得之矽碳化物膜的應用而選擇單獨前驅物的相對比例。例如,矽氧烷的莫耳百分比量可大於矽烷之莫耳百分比量以產生如下所將更詳細討論的多孔薄膜。
針對沉積摻氧的矽碳化物膜,適合之前驅物的實例包含環矽氧烷如環四矽氧烷,如七甲基環四矽氧烷(HMCTS)與四甲基環四矽氧烷。其他環矽氧烷亦可包含但不限於環三矽氧烷與環五矽氧烷。針對沉積摻氧的矽碳化物膜,適合之前驅物的其他實例包含線性矽氧烷例如但不限於二矽氧烷如五甲基二矽氧烷(PMDSO)、四甲基二矽氧烷(TMDSO)、六甲基三矽氧烷、及七甲基三矽氧烷。
如所解釋的,選擇含矽前驅物以提供高度順形的矽碳化物膜。一般相信,具有低黏滯係數的含矽前驅物能夠產生高度順形的薄膜。「黏滯係數」一詞係用以說明相同時間期間內吸附/黏附至表面之物種的數目(如片段或分子)對在表面上撞擊之物種總數的比值。符號Sc有時被用以代表黏滯係數。Sc的數值係介於0(意味著無物種黏附)與1(意味著所有撞擊的的物種皆黏附)之間。影響黏滯係數的各種因素包含撞擊物種的類別、表面溫度、表面階梯覆蓋率、表面的結構細節、及撞擊物種的動能。某些物種在本質上比其他物種更「具黏滯性」,使其在每次撞擊至表面上時更容易吸附至表面上。此些更具黏滯性的物種具有較大的黏滯係數(當其他因素相同時),因此相較於具有較低黏滯係數的物種,具有較大黏滯係數的物種更容易吸附在凹陷特徵部的入口附近。在某些情況中,前驅物的黏滯係數(在相關沉積條件下)可約為0.05或更小例如約0.001或更小。 漸變的矽碳化物膜
積體電路製造業界中的技術節點持續微縮。裝置的幾何尺寸與節距隨著每一技術節點變得更小。在此類技術節點中的高深寬比間隙可能需要填充絕緣材料如具有低介電常數(low-k)的絕緣材料。半導體整合操作可涉及以低介電常數(low-k)之介電材料填充高深寬比之間隙。這例如是淺溝槽絕緣結構、金屬間之介電層、鈍化層等的情況。
例如,從45-nm技術節點變化至14-nm技術節點,裝置特徵部橫向微縮俾使導電材料變得愈來愈靠近。當導電材料變得愈來愈靠近可能會發生非所欲的導電耦合,這可導致寄生電容、訊號傳播之延遲、及因電容效應所產生的訊號串音。然而,當技術節點變得更小,作為導電內連線之層間介電(ILD)層的低介電常數(low-k)材料可減少寄生電容、訊號延遲、及訊號串音。有些應用包含鰭式場效電晶體(finFET)結構及動態隨機存取記憶體(DRAM)的位元結構需要低介電常數(low-k)材料作為側壁間隙壁材料。
矽氮化物(Si3 N4 )因其階梯覆蓋能力、熱穩定性、蝕刻能力與蝕刻抵抗力、及高崩潰電壓常被用來作為許多積體電路應用中的絕緣材料。然而,由於技術節點變得更小,因此矽氮化物的介電常數(約7–8)可能太高。
矽氧化物(SiO2 )具有較低的介電常數(約4.0)且作為導電內連線之層間介電層可提供電容的大幅降低。然而,矽氧化物在裝置積體流程中對於蝕刻操作可能不具有充分的抵抗力或選懌性。
矽碳化物材料包含經摻雜的矽碳化物材料可在積體電路應用中作為絕緣材料,不僅僅提供低介電常數亦提供階梯覆蓋能力、對濕蝕刻之抵抗力、對氧化物/氮化物之乾蝕刻選擇比、及高崩潰電壓。含入氧原子及/或氮原子可調諧矽碳化物材料的特性。在某些實施例中,摻雜氧的矽碳化物膜可作為積體電路應用中的絕緣材料,提供低介電常數、對濕蝕刻之抵抗力以倖存於裝置整合操作、及對氧化物/氮化物之乾蝕刻選擇比。
然而,摻雜氧之矽碳化物的單一膜層或薄膜可能無法具有所有期望特性包含同時具有低介電常數與高蝕刻抵抗力。在某些實施例中,可提供一多層堆疊俾使每一膜層具有不同的特徵,以多層堆疊作為積體電路應用中的絕緣材料。例如,摻雜氧的矽碳化物膜在一多層堆疊中可具有變化的碳含量。多層堆疊可提供具有濕蝕刻抵抗力、對氧化物/氮化物的乾蝕刻選擇比、及熱穩定性的頂層作為保護層以及具有低介電常數(如k < 4.0)的底層。頂層可具有高碳含量以提供濕蝕刻抵抗力、對蒸氣退火的高抵抗力、對灰化與剝除的高抵抗力、對氧化物/氮化物的乾蝕刻選擇比、及高熱穩定性。底層可具有低碳含量以提供低介電常數與良好的電特性如高崩潰電壓與低漏電流。中間層可被形成於其間。可藉著選擇不同的前驅物形成每一層,其中可基於前驅物的矽、碳、及氧的相對濃度選擇前驅物。具有高碳含量的膜層可使用具有較多Si–C鍵結的含矽前驅物,具有低碳含量的膜層可使用具有較少Si–C鍵結的含矽前驅物。或者,可藉著改變共反應物氣體流率相對於含矽前驅物流率的比例而形成每一層。或者,藉著改變含矽前驅物的流率形成每一層。是以,膜層組成之調整可藉著適當前驅物之選擇或共反應物對前驅物氣體之流率比例選擇來達到。
矽碳化物膜可自第一表面至相對於第一表面的第二表面漸變而取代複數離散膜層。在某些實施例中,第一與第二表面可分別代表底表面與頂表面。在某些實施例中,第一與第二表面可分別代表頂表面與底表面。在矽碳化物膜為側壁間隙壁的某些實施例中,第一表面可面對導電內連線而第二表面可被暴露至接續的裝置整合操作。漸變的矽碳化物膜自第一表面至第二表面可具有組成梯度。組成梯度之提供可橫跨漸變之矽碳化物膜的厚度。因此,漸變之矽碳化物膜的特性可橫跨薄膜厚度逐漸改變。
具有變化組成與特性的複數離散膜層可能不如漸變薄膜來得穩健且在異質多層堆疊中可能具有更多的介面問題。複數離散膜層可能需要仔細地選擇保護層的厚度以避免故障的可能性。換言之,若具有高碳濃度的一矽碳化物層可在具有低碳濃度的一矽碳化物層上方具有保護層的功能,則應仔細選擇保護層的厚度以倖存於裝置整合操作否則具有低碳濃度的矽碳化物層可能無法倖存。然而,漸變的矽碳化物膜可比多層矽碳化物膜提供更大保護的更多緩衝區域。然而,由於多層矽碳化物膜中的更多膜層可具有低碳濃度,因此多層矽碳化物膜中的複數離散膜層可能不會犧牲太多的電特性(如低介電常數)。
圖4例示沉積在基板上之漸變之矽碳化物膜之一實例的橫剖面。應瞭解,漸變之矽碳化物膜401的各種態樣不僅僅是可應用至具有組成梯度的漸變結構,此類態樣亦可應用至多層結構。
可利用本發明中所述之沉積製程利用遠端電漿將漸變之矽碳化物膜401沉積至基板400上。基板400可為任何晶圓、半導體晶圓、部分製造完成之積體電路、印刷電路板、顯示螢幕、或其他適合的工作件。漸變之矽碳化物膜401具有面朝向基板的第一表面402及與第一表面402相對的第二表面403。本發明之漸變之矽碳化物膜401可於各種應用中使用,此些應用包含圖1B–1D中所示的結構並將於下文更詳細討論。
漸變之矽碳化物膜401包含矽與碳,並在某些情況中包含氧及/或氮。在某些實施例中,矽的原子濃度係介於約15%至45%(或約25%至40%)之間、碳的原子濃度係介於約5% 至50%之間、氧的原子濃度係介於約0%至45%之間、氮的原子濃度係介於約0%至45%之間。在一實例中,薄膜401包含約10–40原子%的碳及約20–40原子%的氧。在所有的情況中,薄膜401皆包含某些氫。應瞭解,相對的原子濃度可依前驅物的選擇而變化。矽原子可與碳與氧原子形成鍵結。在某些實施例中,薄膜密度係介於約2.0至2.7 g/cm3 之間。
應瞭解,漸變之矽碳化物膜401的整體組成可依前驅物的選擇、前驅物流、及共反應物氣體流而變化。在某些實施例中,前驅物的內部結構係保留在沉積薄膜中。此結構可保留Si–C、及Si–O及/或Si–N鍵結(若其存在於前驅物中)中的所有者或大多者,同時經由存在於前驅物分子中之Si–H鍵結及/或Si–Si鍵結位置處的鍵結及/或若提供充分熱量時經由成長表面上的額外凝結反應聯結或交聯各別前驅物的官能部分。
無論矽碳化物膜為漸變的或非漸變的,文中先前所述的製程條件可提供高度順形的薄膜結構。矽碳化物膜為漸變或非漸變的。相對溫和的製程條件可最少化基板表面處的離子轟擊程度俾使沉積具有異向性。又,相對溫和的製程條件可減少具有高黏滯係數之自由基的數目,具有高黏滯係數之自由基傾向於黏附在先前沉積之膜層或薄膜的側壁上。在某些實施例中,對於約2:1至10:1之間的深度對寬度比例,漸變之矽碳化物膜可沉積得到介於約25%至100%之間的順形性、更通常介於約50%至100%之間的順形性、及甚至更通常介於約80%至100%之間的順形性。順形性可藉由下列方式計算:將特徵部底部上、側壁上、或頂部上之沉積薄膜的平均厚度比上特徵部底部上、側壁上、或頂部上之沉積薄膜的平均厚度。例如,順形性可藉由下列方式計算:將側壁上之沉積薄膜的平均厚度除以特徵部頂部處之沉積薄膜的平均厚度,然後將其乘以100得到百分比。對於某些應用而言,介於約85%至95%之間的順形性已足夠。在某些實例中,在具有介於約2:1至約4:1之間之深寬比的特徵部上沉積漸變的矽碳化物,順形性至少約為90%。某些BEOL製程(後段製程)落在此範疇內。在某些實例中,在具有介於約4:1至約6:1之間之深寬比的特徵部上沉積漸變的矽碳化物,順形性至少約為80%。某些間隙壁製程落在在某些實例中,在具有介於約7:1至約10:1(及甚至更高)之間之深寬比的特徵部上沉積漸變的矽碳化物,順形性至少約為90%。某些DRAM製造製程落在此範疇內。
製程條件亦可提供具有高崩潰電壓與低漏電流的薄膜結構。藉著將有限量的氧或氮導入矽碳化物系材料中,可藉由氧或氮阻擋Si–H鍵結及/或Si–CH 2 –Si鍵結所提供的漏電路徑。在低電場處Si–O與Si–N中的導電模式可能不同。這可提供較佳的電特性並同時維持相對低的介電常數。在各種實施例中,薄膜具有約6.0或更低、或約5.0或更低、或約4.0或更低、在某些情況中約3.5或更低、在某些情況中約3.0或更低、甚至某些情況中約2.5或更低的有效介電常數。對於有效介電常數為3.5或更低的薄膜結構而言,可選擇共反應物氣體與適當含矽前驅物的適合流率。有效的介電常數可取決於鍵結與密度。在某些實施例中,摻雜氧之矽碳化物膜被製作成具有5.0或更高的介電常數,尤其是當碳含量相對高時。若漏電流為重要的考量,則摻雜氧的矽碳化物膜需要小於約5.0的介電常數。介電常數愈低則其密封及阻障與熱抵抗力特性愈差。
在某些實施例中,漸變之矽碳化物膜包含摻雜氧之矽碳化物,其中摻雜氧之矽碳化物可大致上指涉SiOC或SiCO。然而文中所用之SiOC一詞係不同於SiCO,因為SiOC膜與SiCO膜不具有相同的化學結構或特性。SiCO膜可代表基於本發明之沉積製程以遠端電漿所沉積之化學結構。SiCO膜包含減少甚至無終端CH3 鍵結,其中碳原子大致上係已交聯且由矽原子配位。碳原子或至少實質部分的碳原子係已交聯且非由氧與氫原子配位。此外,SiCO膜具有相對低的氫結量。相對地,SiOC膜包含複數終端CH3 鍵結,其中碳原子係由氧與氫原子配位。碳原子或至少實質部分的碳原子係未交聯。此外,SiOC膜具有相對高的氫含量。SiOC膜通常不如SiCO膜熱穩定且化學穩定。在某些實施例中,應瞭解,本發明之漸變的矽碳化物膜為漸變的SiCO膜。
雖然下面的許多實施例皆指涉漸變的SiCO膜,但應瞭解,本發明之漸變的矽碳化物膜可應用至矽之碳氮氧化物(SiONC)薄膜與矽之碳氮化物(SiNC)薄膜。是以矽碳化物膜可指SiC、SiCO、SiONC、及SiNC薄膜。在某些實施例中,可利用不同於SiCO膜之不同前驅物及/或不同共反應物氣體來沉積漸變的SiONC薄膜或漸變的SiNC薄膜。在某些實施例中,共反應物氣體可包含例如,N2 、N2 O、NH3 、N2 H2 、或其組合。
漸變的矽碳化物膜可基於本申請案中所述之沉積技術利用遠端電漿而加以沉積。漸變之矽碳化物膜可原位或以破真空(如破氣密)的方式沉積。破真空可將氧化導入半導體裝置中,其可導致較高的電阻與效能的減少。又,破真空可減少產量。漸變之矽碳化物膜亦可減少可因複數離散膜層用之多次沉積製程所造成的介面敏弱。
沉積漸變之矽碳化物膜用的製程可涉及一或多種含矽前驅物,此些含矽前驅物具有一或多Si–H鍵結及/或一或多Si–Si鍵結以及取決於欲形成之摻雜結構之類型的其他鍵結如Si–C鍵結、Si–O鍵結、及/或Si–N鍵結。該一或多種含矽前驅物可包含上面所討論的任何含矽前驅物。在某些實施例中,該一或多種含矽前驅物包含有機矽前驅物。有機矽前驅物可流至反應室中並流至容納於反應室中的基板上。在沉積漸變的SiCO膜時,有機矽前驅物可包含:(i) 一或多Si–H鍵結及/或Si–Si鍵結、(ii) 一或多Si–C鍵結、及(iii) 一或多Si–N鍵結。在沉積漸變的SiCO膜時,有機矽前驅物可包含:(i) 一或多Si–H鍵結及/或Si–Si鍵結、(ii) 一或多Si–C鍵結、及(iii) 一或多Si–O鍵結。例如,有機矽前驅物可選自由下列者所構成的族群:環矽氧烷、線性矽氧烷、及烷氧基矽烷。
除了一或多種含矽前驅物之外,沉積漸變之矽碳化物膜用的製程可涉及一或多種自由基物種尤其是處於實質上低能狀態(如基態)的自由基物種。處於實質上低能狀態之一或多種自由基物種可以上述方式提供。可將源氣體如氫氣供給至遠端電漿源。遠端電漿源可產生源氣體之自由基並將源氣體之自由基導至基板上。在某些實施例中,一或多種自由基物種包含一或多種氫原子自由基。由於氫原子自由基可自激發態轉變至鬆弛態,故可將處於實質上低能狀態的氫原子自由基導至基板上。處於實質上低能狀態或基態的氫原子自由基可選擇性地打斷Si–H與Si–Si鍵結但大致上保留Si–O、Si–N、及Si–C鍵結。在某些實施例中,源氣體至少90%的自由基物種為處於基態的氫原子自由基。可藉由各種技術達到實質部分之氫原子自由基係處於實質上低能狀態或基態的情狀。設計某些設備如下述之設備以達到此情狀。
此外或或者,一或多種自由基物種可包含含氧物種如元素氧自由基(原子或雙原子)、含氮物種如元素氮自由基(原子或雙原子)、及含N–H之自由基如氨自由基,其中氮係選擇性地含入薄膜中。
沉積漸變之矽碳化物膜用的製程條件可不具有實質量之處於高能態如處於高於基態之能態的離子、電子、或自由基物種。在某些實施例中,與薄膜相鄰之區域中的離子濃度係不大於約107 /cm3 。其他製程條件如上述的壓力與溫度可類似地應用至沉積漸變之矽碳化物膜。
在某些實施例中,源氣體係於載氣如氦中提供。例如,可以約1–10% 氫濃度於氦載氣中提供氫氣。選擇壓力、載氣如氦的分量、及其他製程條件俾使氫原子以處於低能狀態之自由基的形式遇到基板而不重新結合。
沉積漸變之矽碳化物膜用的製程可涉及非一或多種含矽前驅物亦非源氣體之一或多種自由基物種的共反應物。例示性的共反應物可包含O2 、CO2 、CO、H2 O、CH3 OH、O3 、N2 、N2 O、NH3 、N2 H2 、CH4 、C2 H6 、C2 H2 、C2 H4 、B2 H6、及其組合。在沉積漸變之SiCO膜的某些實施例中,共反應物可包含O2 、CO2 、CO、O3 、N2 O、及其組合。在沉積漸變之SiNC膜的某些實施例中,共反應物可包含N2 、NH3 、N2 H2 、及其組合。
可使共反應物朝向反應室流動,其中共反應物的流動路徑可與含矽前驅物或源氣體相同。在使用非氫之共反應物的某些實施例中,共反應物可藉由與含矽前驅物相同的流動路徑被導入反應室;例如,包含噴淋頭但通常不暴露至電漿的路徑。在使用非氫之共反應物的某些實施例中,共反應物可藉由與源氣體(如氫)相同的流動路徑被導入反應室俾使共反應物係至少部分被轉變為自由基及/或離子,其中可使共反應物流經電漿源而朝向反應室流動。因此可在遠端電漿源中產生共反應物之一或多種自由基且共反應物之一或多種自由基可被導至反應室中。當共反應物被導入反應室時,相較於某些被提供至反應室中的其他氣體,共反應物的提供量為相對少量。在某些實施例中,共反應物氣體的流率可少於約10 sccm,而載氣流率與源氣體流率每一者係等於或大於約10 L/m。
在形成多層矽碳化物膜或漸變的矽碳化物膜時,共反應物氣體的流率可隨著時間改變。在某些實施例中,共反應物氣體的流率可隨著時間逐漸改變以形成漸變的矽碳化物膜的組成梯度。在某些實施例中,可在離散的時間間隔中改變或隨著時間以增加方式改變共反應物氣體的流率以形成多層矽碳化物膜。在某些實施例中,共反應物氣體為氧氣。在某些實施例中,在共反應物氣體的流率改變時有機矽前驅物的流率為常數。
在某些實施例中,在共反應物氣體的流率改變時可改變有機矽前驅物的流率。在某些實施例中,在共反應物氣體的流率為常數時可改變有機矽前驅物的流率。類似於隨著時間改變共反應物氣體的流率,隨著時間改變有機矽前驅物的流率可形成漸變的矽碳化物膜的組成梯度。可隨著時間逐漸改變、在離散的時間間隔中改變、或隨著時間以增加方式改變有機矽前驅物的流率。藉著隨著時間改變有機矽前驅物的流率,可調整Si–C鍵結的數目以調諧第一表面與第二表面中的碳含量。
又,可選擇有機矽前驅物以調諧漸變之矽碳化物膜中的碳含量。當沉積漸變之矽碳化物膜時,於沉積的不同時間間隔處可使用具有不同碳含量之不同有機矽前驅物。例如,第一表面處之沉積可選擇具有較多碳鍵結的第一有機矽前驅物,第二表面處之沉積可選擇具有較少碳鍵結的第二有機矽前驅物。沉積漸變之矽碳化物膜時的有機矽前驅物的改變可導致漸變之矽碳化物膜之組成梯度的較陡峭變化。
當隨著時間改變共反應物氣體的流率時,以不破真空的方式改變共反應物氣體的流率。共反應物氣體的流率以形成漸變的矽碳化物膜的組成梯度時,提供在組成上具有更漸變連續性的薄膜,其比具有複數離散膜層的薄膜更穩健。
因此,可藉著一或多種技術調諧漸變之矽碳化物膜的組成梯度,此些技術包含改變有機矽前驅物、隨著時間改變有機矽前驅物的流率、及隨著時間改變共反應物氣體的流率。
圖5例示有機矽前驅物與共反應物氣體氧的流率隨著時間的狀態。如圖5中所示,在沉積漸變的矽碳化物膜期間可使有機矽前驅物的流率隨著時間維持常數。漸變之矽碳化物膜可為漸變的SiCO膜。雖然未顯示,但在沉積漸變之矽碳化物膜期間可將源氣體氫及載氣氦的流率隨著時間維持常數。然而,共反應物氣體氧的流率隨著時間增加改變。在圖5中,氧流率隨著時間減少。 例如,在沉積薄膜之前50 Å氧流率可於9.5 sccm開始,然後在沉積薄膜的下個50 Å降至7.5 sccm,然後在沉積薄膜的下個50 Å降至5.5 sccm,然後在沉積薄膜的下個50 Å降至3.5 sccm。沉積薄膜的每個50 Å沉積可介於約100 秒至約500 秒之間如約375 秒。可將有機矽前驅物的流率維持在約8.0 sccm的常數流率。然而應瞭解,取決於前驅物化學品,有機矽前驅物的流率可不同。雖然在圖5中的圖顯示流率係以段差輪廓改變,但應瞭解,流率可以不同輪廓如斜率或曲線輪廓變化。
藉著隨著時間調整共反應物的流率,漸變之矽碳化物膜的組成會橫跨漸變之矽碳化物膜的厚度變化。氧氣或氧自由基的存在傾向於自Si–C鍵結擷取碳。換言之,氧的存在可將碳化物轉變為氧化物。碳係自基板上的有機矽前驅物移除,且在某些情況中可被氧所置換。因此,增加反應混合物中的氧濃度可有效地調諧薄膜如漸變的SiCO膜的碳含量。
或者,氮氣或氮自由基的存在傾向於自 Si–C鍵結擷取碳。因此,增加反應混合物中的氮濃度亦可有效地調諧漸變的矽碳化物膜如漸變的SiNC 膜的碳含量。
在某些實施例中,漸變之矽碳化物膜之組成梯度具有自矽碳化物膜至第二表面的一碳濃度增加,或反之亦然。第一表面具有較低的碳濃度,其中碳的原子濃度可小於約20%、小於約15%、或小於約10%。第二表面具有較高的碳濃度,其中碳的原子濃度可大於約20%、或大於約30%、或大於約35%。在某些實施例中,橫跨組成梯度的碳濃度範圍可在介於約1% 至約50%之間的任何範圍,或橫跨組成梯度的碳濃度範圍可在介於約5%至約45%之間的任何範圍。在SiCO膜的某些實施例中,第一表面可具有接近SiO2 之化學性質的碳濃度, 而第二表面可具有接近SiC之化學性質的碳濃度。當反應混合物中具有隨著時間減少的一氧濃度減少時,第二表面處的薄膜可具有較多的Si–C鍵結而第一表面處的薄膜可具有較少的Si–C鍵結。應瞭解,取決於應用可顛倒碳濃度梯度。
例如,漸變的SiCO膜的組成梯度具有自底表面至頂表面增加的一碳濃度增加,或反之亦然。在文中所用之漸變的SiCO膜的底表面可指涉沉積在基板上或基板特徵部上的一表面,漸變的SiCO膜的頂表面可指涉被暴露至接續之裝置整合操作的一表面。在某些實施例中,底表面處的碳的原子濃度係低於約20%如約12%且甚至低至5%,頂表面處的碳的原子濃度係高於約20%如約36%且甚至高至40%。頂表面處具有較高的碳濃度,則漸變的SiCO膜的頂表面比底表面具有對氧化物/氮化物較高的乾蝕刻選擇比、對灰化與剝除較高的抵抗力、及對其他嚴厲步驟如蒸氣退火製程較高的抵抗力。這使得漸變的SiCO膜能符合許多裝置整合要求。底表面處具有較低碳濃度 ,則漸變的SiCO膜的底表面比頂表面具有較低的介電常數、較高的崩潰電壓、及較低的漏電流。這使得漸變的SiCO膜能減少寄生電容、訊號延遲、及尤其在高速裝置中嚴重的訊號串音。
在某些實施例中,具有較低碳濃度的表面可提供較低的介電常數,其中介電常數可小於約5.0、或小於約4.0、或小於約3.5。多層矽碳化物膜例如可提供第一表面處之約3.5的介電常數及第二表面處之約4.5的介電常數。漸變的矽碳化物膜可例如提供第一表面處之約3.5的介電常數逐漸增加至第二表面處之約4.5的介電常數,漸變之矽碳化物膜比多層矽碳化物膜提供更多緩衝。
圖6例示碳濃度之組成輪廓,其為漸變的矽碳化物膜與非漸變的矽碳化物膜的深度的函數。在非漸變的SiCO膜中,碳濃度橫跨非漸變的SiCO膜的厚度大致上維持常數。在漸變的SiCO膜中,碳濃度向下斜代表橫跨漸變的SiCO膜的厚度碳濃度自一裸露表面(如上表面)至一未裸露表面(如下表面)具有一碳濃度減少。當氧流如圖5中所示隨著時間減少,則碳濃度可如圖6所示橫跨薄膜厚度自裸露表面減少。或者,當有機矽前驅物流隨著時間減少或若有機矽前驅物改變至較低碳濃度,則碳濃度可如圖6所示橫跨薄膜厚度自裸露表面減少。
漸變的矽碳化物膜之沉積不僅僅是可在橫跨其厚度具有變化的碳濃度,漸變之矽碳化物膜亦可被沉積至高深寬比特徵部中而保有良好順形性。在某些實施例中,漸變之矽碳化物膜可被沉積至具有大於2:1、大於5:1、或大於10:1之深對寬比例的特徵部中。即便是在此類高深寬比的特徵部中,漸變之矽碳化物膜可具有至少80%、至少85%、或至少90%的階梯覆蓋率。此類階梯覆蓋率對於各種積體電路應用如側壁間隙壁應用是有利的。圖7例示沉積在基板之特徵部中之一例示性SiCO膜(漸變的或非漸變的)的掃描式穿透電子顯微鏡(STEM)影像。SiCO膜(無論是漸變的或非漸變的)沿著特徵部側壁維持良好的順形性。為了量測特徵部中的元素含量,以橫向進行電子能量損失光譜(EELS)操作。
圖8A例示圖7之STEM影像的線掃描圖,其顯示非漸變的SiCO膜的組成輪廓。在圖8A中,非漸變的SiCO膜的組成輪廓可以介於約70 nm至約90 nm之間的深度及介於約140 nm至約160 nm的深度所代表。碳與氧的相對濃度在非漸變的SiCO膜中係大致上維持常數。
圖8B例示圖7之STEM影像的線掃描圖,其顯示漸變的SiCO膜的組成輪廓。在圖8B中,漸變的SiCO膜的組成輪廓可以介於約70 nm至約90 nm之間的深度處及介於約145 nm至約165 nm之間的深度處所代表。在漸變的SiCO膜中碳與氧的相對濃度有較大的斜率 。尤其,相對碳濃度自外表面至內表面減少而相對氧濃度自外表面至內表面增加。是以,當Si–O鍵結的數目增加,Si–C鍵結的數目減少。 設備
本發明之一態樣為一種用以完成文中所述之方法的設備。適合的設備包含用以完成製程操作的硬體以及具有用以根據本發明控制製程操作之指令的系統控制器。在某些實施例中,用以進行上述製程操作的設備包含遠端電漿源。相較於直接電漿,遠端電漿源提供溫和的反應條件。適合的遠端電漿設備的實例係載於2013年十月24日申請之美國專利申請案US 14/062,648中,將其所有內容包含於此作為所有目的之參考。
圖3為根據某些實施例之遠端電漿設備的概圖。裝置300包含具有噴淋頭組件320的反應室310。在反應室310中,基板330係座落在一座臺或平臺335上。在某些實施例中,平臺335可設有加熱/冷卻元件。控制器340可連接至裝置300的複數元件以控制裝置300的操作。例如,控制器340可包含用以控制裝置300之操作之製程條件的指令,製程條件例如是溫度製程條件及/或壓力製程條件。在某些實施例中,控制器340可包含用以控制前驅物氣體、共反應物氣體、源氣體、及載氣之流率的指令。控制器340可包含用以隨著時間改變共反應物氣體之流率的指令。此外或或者,控制器340可包含用以隨著時間改變前驅物氣體之流率的指令。
在操作期間,藉由耦合至反應室310的一或多個氣體入口將氣體或氣體混合物導入至反應室310中。在某些實施例中,兩或更多氣體入口係耦合至反應室310。第一氣體入口355可耦合至反應室310並連接至一容器350,第二氣體入口365可耦合至反應室310並連接至遠端電漿源 360。在包含遠端電漿源配置的實施例中,用以輸送前驅物與在遠端電漿源中所產生之自由基物種的輸送線係彼此分離。因此,在到達基板330之前前驅物與自由基物種實質上不會彼此作用。
一或多個自由基物種可在遠端電漿源360中生成並用以藉由氣體入口365進入反應室310。在遠端電漿源360中可使用任何類型的電漿源產生自由基物種。這包含但不限於電容耦合電漿、感應耦合電漿、微波電漿、DC電漿、電射生成之電漿。電容耦合電漿的一實例可為射頻(RF)電漿。高頻電漿可用以操作於13.56 MHz或更高的頻率。加州弗里蒙特之科林研發公司所製造的GAMMA®可為此類遠端電漿源360的一實例。麻州威爾明頓之MKS 設備所製造的Astron®可為此類遠端電漿源360的另一實例,遠端電漿源可在440 kHz下操作且可被提供為被閂鎖在用以平行處理一或多片基板之較大設備上的一子單元。在某些實施例中,微波電漿與遠端電漿源360一起使用,如在亦為MKS 設備所製造的Astex®所見。微波電漿可用以在2.45 GHz的頻率下操作。被提供至遠端電漿源之氣體可包含氫、氮、氧、及文中他處所提及的其他氣體。在某些實施例中,在載氣如氦氣中提供氫氣。例如,在氦載氣中提供之氫氣具有約1–10%的氫濃度。
前驅物可被提供至容器350中且可藉由第一氣體入口355而被供給至噴淋頭320。噴淋頭320將前驅物分散至反應室310中朝向基板330分散。基板330可位於噴淋頭320下方。應注意,噴淋頭320可具有任何適當的形狀且可具有任何數目與配置之用以將氣體分散至基板330的接口。前驅物可被供給至噴淋頭320,最終以受到控制的流率被供給至基板330。
在遠端電漿源360中所形成的一或多種自由基物種可以氣相朝向基板330載帶。一或多種自由基物種可流經第二氣體入口365而流至反應室310中。應瞭解,第二氣體入口365不需如圖3所示橫跨基板330的表面。在某些實施例中,第二氣體入口365可位於基板330正上方或位於其他位置處。可配置遠端電漿源360與反應室310之間的距離以提供溫和的反應條件俾使在遠端電漿源360中所產生之離子化的物種被實質上中和但至少部分處於實質上低能狀態的自由基物種仍留在環境中與基板330相鄰。此類低能狀態之自由基物種並不會重新結合而形成穩定的化合物。遠端電漿源360與反應室310之間的距離可為下列者的函數:電漿的侵略性(例如部分由源RF功率位準所判斷)、電漿中之氣體的密度(例如若有高濃度的氫原子則其大部分在到達反應室310之前可重新結合形成H2 )、及其他因素。在某些實施例中,遠端電漿源360 與反應室310之間的距離可介於約1 cm至30 cm之間如約5 cm或約15 cm。
在某些實施例中,在沉積反應期間導入非為主要含矽前驅物或氫自由基的共反應物。在某些實施例中,設備係用以藉由第二氣體入口365導入共反應物,其中共反應物至少部分被轉變為電漿。在某些實施例中,設備係藉由第一氣體入口355經由噴淋頭320導入共反應物。共反應物的實例包含氧、氮、氨、二氧化碳、一氧化碳等。共反應物的流率可隨著時間變化以在漸變的薄膜中產生組成梯度。
控制器340可包含用以控制裝置300之操作之製程條件的指令。控制器340通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。用以施行適當控制操作的指令係於處理器上執行。此些指令可儲存在與控制器340相關的記憶體裝置上或其可藉由網路提供。
在某些實施例中,控制器340控制文中所述之半導體製程裝置300之所有或大部分的活動。例如,控制器340可控制與沉積漸變之矽碳化物膜相關之半導體製程裝置300之所有或大部分的活動並選擇性地控制包含漸變之矽碳化物膜之製造流程中的其他操作。控制器340可執行包含用以控制下列者之多組指令的系統控制軟體:時序、氣體組成、氣體流率、製程室壓力、製程室溫度、RF功率位準、基板位置、及/或其他參數。在某些實施例中可使用儲存在與控制器340相關之記憶體裝置上的其他電腦程式、腳本、或例行程序。為了在與基板330相鄰的環境處提供相對溫和的反應條件,可以控制器340調整及維持參數如RF功率位準、流至遠端電漿區域之氣體的氣體流率、及電漿點燃的時序。此外,調整基板位置可進一步減少與基板330相鄰之環境處之高能自由基物種的存在。在一多站反應器中,控制器340針對不同的設備站點可包含不同或相同的指令,因此讓多個設備站點得以獨立操作或同步操作。
在某些實施例中,控制器340可包含用以進行例如下列操作的指令:使含矽前驅物經由第一氣體入口355流至反應室310中、自遠端電漿源360提供源氣體之處於實質上低能狀態的一或多種自由基物種、使共反應物氣體經由第二氣體入口365流至反應室310中、隨著時間改變共反應物氣體的流率、及使一或多種自由基物種經由第二氣體入口365流至反應室310中與含矽前驅物反應而在基板330上形成漸變之矽碳化物膜。在某些實施例中,控制器340可包含用以隨著時間改變含矽前驅物之流率的指令。
在某些實施例中,設備可包含和控制器340相關的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
可以任何傳統的電腦可讀程式語言撰寫控制上述操作用的電腦程式碼,電腦可讀程式語言例如是組合語言、C、C++、Pascal、Fortran或其他語言。可藉由處理器執行編譯過的物件碼或腳本以進行程式中所認定的任務。
用以監測製程的訊號可藉由系統控制器的類比及/或數位輸入連接件所提供。用以控制製程的訊號係於製程設備的類比及數位輸出連接件上輸出。
大致上,文中所述的方法可在系統上進行,此類系統可包含半導體製程設備,其包含一製程工具或複數製程工具、一製程室或複數製程室、一製程平臺或複數製程平臺、及/或特定的製程元件(晶圓平臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。一般而言,此些電子裝置係稱為「控制器」,其可控制系統的各種元件或子部件。取決於製程需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何製程包含輸送製程氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入及離開工具與連接至系統或與系統交界的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特殊應用積體電路(ASIC)的晶片、PLD、及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓或對系統進行特定處理所用的操作參數。在某些實施例中,程式指令為製程工程師為了完成一或多膜層、材料(如矽碳化物)、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器係位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓製程。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有製程的參數、設定製程步驟以符合現有製程、或開始一新的製程。在某些實施例中,遠端電腦(或伺服器)可經由電腦網路對系統提供製程配方,電腦網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,此些指令指定在一或多個操作期間欲進行之每一製程步驟用的複數參數。應瞭解,複數參數係特別針對欲施行之製程的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之製程與控制工作的離散控制器。為了此類目的的分散控制器的實例包含處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準處或為遠端電腦的一部分)的積體電路通訊而共同控制處理室中的處理。
除了文中所述之矽碳化物沉積之外,例示性的系統包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關及/或用於製造的任何其他半導體處理系統。
如上所述,取決於設備所欲進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
上文所述的設備/製程可與微影圖案化設備或製程一起使用例如製造半導體裝置、顯示器、LED、光伏面板等。雖非必要,但此類設備/製程通常在一共同的製造場所中使用/進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一工作件即基板上;(2)利用熱板、或爐管、或UV固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。 應用
參考下面包含漸變之矽碳化物膜之高品質矽碳化物膜的應用可更瞭解本發明,該些應用純粹作為實例之用。本發明不受特定應用範圍的限制,此些特定應用僅例示本發明的態樣。
在某些實施例中,矽碳化物膜可沉積在經暴露的銅上方。在沉積矽碳化物膜時,與基板相鄰之反應條件可無氧化劑如O2 、O3 、及CO2 (包含其自由基)。因此,可將矽碳化物膜直接沉積在經暴露的銅上方而不氧化銅(如產生氧化銅)。此類薄膜可具有蝕刻停止層的功用,其亦可具有銅之擴散阻障層的功用。矽碳化物膜的存在可提供充分低介電常數及優異漏電特性以具有擴散阻障層之功能。矽碳化物膜本身可為蝕刻停止及/或擴散阻障層、或為一雙層堆疊(如沉積在經暴露之銅上方之矽碳化物/SiNC雙層)、或為一漸變的薄膜(如漸變的SiCO膜)或多層堆疊(如多層SiCO膜)。在某些實施例中,矽碳化物膜可被置於相鄰之通常以鑲嵌製程所產生之金屬化膜層之間。矽碳化物膜可抵抗蝕刻且充分緻密能最少化銅離子擴散進入介電材料的相鄰區域。在某些實施例中,矽碳化物膜用的前驅物可為非環形的。非環形的前驅物可包含PMDSO或TMDSO。非環形的前驅物可提供充分高的密度以具有密封或擴散阻障層的功能。在某些實施例中,可藉著使用含氮前驅物或電漿活化含氮自由基如元素氮之自由基或胺基自由基而將氮含入薄膜中。
在某些實施例中,可沉積矽碳化物膜作為與金屬或半導體結構相鄰的垂直結構。沉積矽碳化物沿著金屬或半導體結構的側壁提供優異的階梯覆蓋以產生垂直結構。在某些實施例中,垂直結構可被稱為間隙壁或襯墊。圖1B例示沉積在電晶體之閘電極結構之側壁上之矽碳化物襯墊的橫剖面。如圖1B中所示,電晶體可為CMOS 電晶體,矽基板110具有其源極112與汲極113。閘極介電層114可被沉積至矽基板110上方,閘電極115可被沉積在閘極介電層上方以形成電晶體。矽碳化物襯墊層111可被沉積在閘電極115之側壁與閘極介電層114上。在另一實例中,圖1C例示沉積在氣隙式金屬化層中之裸露銅線之側壁上之矽碳化物的橫剖面。氣隙120可被導入銅線122之間的積體電路層中以減少膜層的有效介電常數值。矽碳化物 複數襯墊121可被沉積在銅線122的側壁上,非順形介電層123可被沉積在氣隙120、襯墊層121、及銅線122上。此類氣隙式金屬化層的實例可參考Fei Wang 等人之美國專利公開案US 2004/0232552,將其所有內容包含於此作為所有目的之參考。
在某些實施例中,矽碳化物膜可被沉積在經圖案化之多孔介電材料的側壁上。超低介電常數介電材料可自多孔結構所製成。此類材料中的孔隙可在後續膜層的沉積期間包含含金屬如鉭(Ta)之擴散阻障層之沉積期間提供使金屬進入的區域。若過多金屬遷移進入介電材料中,則介電材料可提供相鄰銅金屬化線之間的短路。圖1D例示作為多孔介電材料之孔隙封填物之矽碳化物的橫剖面。多孔介電層132可具有被裁切至多孔介電層132中以形成孔隙130的溝槽或通孔。矽碳化物 131可沿著孔隙130沉積以有效地密封孔隙130。以矽碳化物 131密封孔隙130可避免因使用電漿之其他密封技術可對多孔介電層132所造成的損傷。矽碳化物 131可充分地緻密而作為孔隙封填物且可包含非環形的含矽前驅物如PMDSO與TMDSO。在某些實施例中,經蝕刻的介電材料如多孔介電層132可先受到「回復介電常數」之製程,此製程將多孔介電層132暴露至UV輻射及還原劑。此回復製程係更載於Varadarajan等人之共有之美國專利公開案US 2011/0111533中,將其所有內容包含於此作為所有目的之參考。在其他的「回復介電常數」之製程中,可將多孔介電層132暴露至UV輻射及化學矽烷化劑。此回復製程係更載於Varadarajan等人之共有之美國專利公開案US 2011/0117678中,將其所有內容包含於此作為所有目的之參考。在將孔隙130暴露至回復處理而使表面更親水性並提供一材料單層之後,可沉積一層順形沉積的矽碳化物 131以有效密封多孔介電層132的孔隙。
在某些實施例中,矽碳化物膜可被沉積本身用來作為超低介電常數介電材料。超低介電常數介電材料在傳統上被定義為介電常數低於2.5的材料。在此類配置中,矽碳化物的超低介電常數介電材料可為多孔介電材料。可使用環形或籠形的前驅物分子包含環矽氧烷與倍半矽氧烷導入介電層的孔隙。在一實例中,矽碳化物之超低介電常數介電層的孔隙度可介於約20%至50%之間。又,超低介電常數介電層可具有小於約100 Å如介於約5 Å至20 Å之間的平均孔隙尺寸,例如,環矽氧烷之環可具有約6.7 Å的半徑。雖然增加孔隙的數目與尺寸可降低介電常數,但若介電層太過多孔可能會犧牲介電層的機械完整性。
為了讓熟知此項技藝者能清楚瞭解本發明,已詳細說明了前面的實施例,應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。應注意,有許多替代方式施行文中所述的製程、系統、及設備。因此,此些實施例應被視為是說明性而非限制性的。
100‧‧‧基板
101‧‧‧矽碳化物膜
110‧‧‧矽基板
111‧‧‧矽碳化物襯墊層
112‧‧‧源極
113‧‧‧汲極
114‧‧‧閘極介電層
115‧‧‧閘電極
120‧‧‧氣隙
121‧‧‧襯墊層
122‧‧‧銅線
130‧‧‧孔隙
131‧‧‧矽碳化物
132‧‧‧多孔介電層
300‧‧‧裝置
310‧‧‧反應室
320‧‧‧噴淋頭組件
330‧‧‧基板
335‧‧‧平臺
340‧‧‧控制器
350‧‧‧容器
355‧‧‧第一氣體入口
360‧‧‧遠端電漿源
365‧‧‧第二氣體入口
400‧‧‧基板
401‧‧‧漸變之矽碳化物膜
402‧‧‧第一表面
403‧‧‧第二表面
圖1A例示沉積在基板上之一例示性矽碳化物膜的橫剖面。
圖1B例示電晶體之閘電極結構之側壁上的矽碳化物垂直結構。
圖1C例示在氣隙式金屬化層中之銅線之裸露側壁上的矽碳化物垂直結構。
圖1D例示多孔介電材料之矽碳化物孔隙封填物。
圖2例示代表性的籠式矽氧烷前驅物。
圖3例示具有遠端電漿源之一設備的概圖。
圖4例示沉積在基板上方之一例示性漸變之矽碳化物膜的橫剖面。
圖5例示有機矽前驅物及共反應物氣體氧隨著時間的流率。
圖6例示漸變之矽碳化物膜與非漸變之矽碳化物膜之碳濃度的組成輪廓,其為深度的函數。
圖7例示沉積在基板特徵部中之一例示性摻雜氧之矽碳化物(SiCO)膜(漸變的或非漸變的)的掃描式穿透電子顯微鏡(STEM)影像。
圖8A例示圖7之STEM影像的線掃描圖,其顯示非漸變之SiCO膜的組成輪廓。
圖8B例示圖7之STEM影像的線掃描圖,其顯示漸變之SiCO膜的組成輪廓。

Claims (20)

  1. 一種漸變的矽碳化物膜的沉積方法,包含: 在一反應室中提供一基板; 使一有機矽前驅物流至該基板上; 使一共反應物氣體朝向該反應室流動; 在該反應室遠端的一電漿源中提供一源氣體; 在該電漿源中自該源氣體產生該源氣體的一或更多自由基; 將該源氣體的該一或更多自由基導至該基板上,其中該源氣體之該一或更多自由基的所有者或實質上所有者係處於與該有機矽前驅物反應的一實質低能狀態;及 隨著時間改變該共反應物氣體的流率以形成一漸變的矽碳化物膜,該漸變之矽碳化物膜自該漸變之矽碳化物膜的一第一表面至與該第一表面相對之該漸變之矽碳化物膜的一第二表面具有一組成梯度。
  2. 如申請專利範圍第1項之漸變的矽碳化物膜的沉積方法,其中使該共反應物氣體朝向該反應室流動包含使該共反應物氣體流經該電漿源。
  3. 如申請專利範圍第2項之漸變的矽碳化物膜的沉積方法,更包含: 在該電漿源中自該共反應物氣體產生該共反應物氣體的一或更多自由基;及 將該共反應物氣體的該一或更多自由基導至該基板上。
  4. 如申請專利範圍第1項之漸變的矽碳化物膜的沉積方法,其中使該共反應物氣體朝向該反應室流動包含使該共反應物氣體沿著與該有機矽前驅物相同的流動路徑流動。
  5. 如申請專利範圍第1項之漸變的矽碳化物膜的沉積方法,其中該共反應物氣體包含二氧化碳(CO2 )、一氧化碳(CO)、水(H2 O)、甲醇(CH3 OH)、氧(O2 )、臭氧(O3 )、氮(N2 )、一氧化二氮(N2 O)、氨(NH3 )、二氮烯(N2 H2 )、甲烷(CH4 )、乙烷(C2 H6 )、乙炔(C2 H2 )、乙烯(C2 H4 )、二硼烷(B2 H6 )、或其組合。
  6. 如申請專利範圍第5項之漸變的矽碳化物膜的沉積方法,其中該共反應物氣體為氧氣。
  7. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中該漸變之矽碳化物膜為一漸變之摻雜氧的矽碳化物(SiCO)膜。
  8. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中該漸變之矽碳化物膜之該組成梯度具有自該漸變之矽碳化物膜之該第一表面至該第二表面而遞增的碳濃度。
  9. 如申請專利範圍第8項之漸變的矽碳化物膜的沉積方法,其中在該漸變之矽碳化物膜之該第一表面處的碳濃度係少於約20%且在該漸變之矽碳化物膜之該第二表面處的碳濃度係大於約20%。
  10. 如申請專利範圍第8項之漸變的矽碳化物膜的沉積方法,其中相對於該漸變之矽碳化物膜之該第一表面,該第二表面具有對氧化物/氮化物的一較高蝕刻選擇比、對灰化與剝除的一較高抵抗力、及對蒸氣退火的一較高抵抗力。
  11. 如申請專利範圍第8項之漸變的矽碳化物膜的沉積方法,其中該漸變之矽碳化物膜之該第二表面所具有之一介電常數係高於該第一表面之一介電常數。
  12. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中該漸變之矽碳化物膜係以一不破真空的方式形成。
  13. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中隨著時間改變該共反應物氣體的該流率包含橫跨該漸變之矽碳化物膜的一厚度逐漸改變該共反應物氣體的該流率。
  14. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中該源氣體之處於該實質低能狀態的該自由基包含處於基態的氫原子自由基。
  15. 如申請專利範圍第14項之漸變的矽碳化物膜的沉積方法,其中該源氣體之至少90%的該自由基係為處於該基態的氫原子自由基。
  16. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中該有機矽前驅物包含:(i) 一或多個矽-氫鍵結及/或矽-矽鍵結;(ii) 一或多個矽-碳鍵結;及(iii) 一或多個矽-氧鍵結。
  17. 如申請專利範圍第16項之漸變的矽碳化物膜的沉積方法,其中該有機矽前驅物係選自由下列者所構成的族群:環矽氧烷、線性矽氧烷、及烷氧基矽烷。
  18. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中該基板具有複數特徵部,該複數特徵部中的每一者皆具有大於5:1之深度對寬度之深寬比。
  19. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,其中隨著時間改變該共反應物氣體的該流率係在不改變該有機矽前驅物之一流率或該源氣體之一流率的情況下進行。
  20. 如申請專利範圍第1-6項中任一項之漸變的矽碳化物膜的沉積方法,更包含: 隨著時間改變該有機矽前驅物的一流率。
TW106133254A 2016-09-30 2017-09-28 漸變或多層矽碳化物膜之基於遠端電漿的沉積 TW201823159A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/283,159 US10297442B2 (en) 2013-05-31 2016-09-30 Remote plasma based deposition of graded or multi-layered silicon carbide film
US15/283,159 2016-09-30

Publications (1)

Publication Number Publication Date
TW201823159A true TW201823159A (zh) 2018-07-01

Family

ID=61758427

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106133254A TW201823159A (zh) 2016-09-30 2017-09-28 漸變或多層矽碳化物膜之基於遠端電漿的沉積

Country Status (5)

Country Link
US (1) US10297442B2 (zh)
KR (3) KR20230169473A (zh)
CN (1) CN109791871B (zh)
TW (1) TW201823159A (zh)
WO (1) WO2018063825A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767403B (zh) * 2019-11-08 2022-06-11 美商應用材料股份有限公司 沉積具有減少的表面粗糙度的材料之方法
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
US10965281B2 (en) * 2017-09-25 2021-03-30 Dialog Semiconductor (Uk) Limited Circuit based on a III/V semiconductor and a method of operating the same
US10957543B2 (en) 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN117660941A (zh) * 2018-07-24 2024-03-08 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
CN109119339B (zh) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
US10692991B2 (en) 2018-09-06 2020-06-23 Globalfoundries Inc. Gate-all-around field effect transistors with air-gap inner spacers and methods
US11681213B2 (en) 2019-02-21 2023-06-20 International Business Machines Corporation EUV pattern transfer using graded hardmask
US10903317B1 (en) 2019-08-07 2021-01-26 Globalfoundries U.S. Inc. Gate-all-around field effect transistors with robust inner spacers and methods
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11859278B2 (en) * 2020-03-08 2024-01-02 Applied Materials, Inc. Molecular layer deposition of amorphous carbon films
EP4168604A1 (en) * 2020-07-24 2023-04-26 Versum Materials US, LLC Cyclosiloxanes and films made therewith
US20220091513A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Film structure for electric field assisted bake process
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
CN114361274B (zh) * 2022-01-07 2024-04-16 上海交通大学 基于组分渐变硅碳应变层的硅基半导体光电材料与制备
CN115775768B (zh) * 2023-02-13 2023-07-04 长鑫存储技术有限公司 半导体结构及其制作方法

Family Cites Families (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (ja) 1987-08-11 1998-04-02 株式会社豊田中央研究所 炭化珪素質材料の製造方法及び原料組成物
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
SE9501312D0 (sv) 1995-04-10 1995-04-10 Abb Research Ltd Method for procucing a semiconductor device
KR100219550B1 (ko) 1996-08-21 1999-09-01 윤종용 반사방지막 및 이를 이용한 패턴형성방법
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
JP3411559B2 (ja) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (ja) 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6383898B1 (en) 1999-05-28 2002-05-07 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
CA2375138A1 (en) 1999-06-03 2000-12-14 The Penn State Research Foundation Deposited thin film void-column network materials
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
AU2002241936A1 (en) 2001-01-22 2002-07-30 N.V.Bekaert S.A. Copper diffusion barriers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (ko) 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (ko) 2001-11-28 2004-03-02 동부전자 주식회사 알루미늄을 이용한 구리 확산 방지 막 형성방법
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1365043B1 (de) 2002-05-24 2006-04-05 Schott Ag Vorrichtung für CVD-Beschichtungen
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
CN1902550B (zh) 2003-12-26 2012-07-18 日产化学工业株式会社 形成硬掩模用涂布型氮化膜的组合物
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
WO2007099428A1 (en) 2006-02-28 2007-09-07 Stmicroelectronics (Crolles 2) Sas Metal interconnects in a dielectric material
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
JP5040913B2 (ja) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5380797B2 (ja) 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
TW200842950A (en) 2007-02-27 2008-11-01 Sixtron Advanced Materials Inc Method for forming a film on a substrate
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US20100327413A1 (en) 2007-05-03 2010-12-30 Lam Research Corporation Hardmask open and etch profile control with hardmask open
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
EP2274458B1 (en) 2008-05-07 2020-03-25 The Trustees of Princeton University Method for protecting electronic devices by means of hybrid layers
KR101629193B1 (ko) * 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) * 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP5123349B2 (ja) 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
JP5643679B2 (ja) 2011-03-02 2014-12-17 大陽日酸株式会社 炭化珪素の除去方法
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9382268B1 (en) * 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
KR102231643B1 (ko) * 2014-03-13 2021-03-24 엘지이노텍 주식회사 탄화 규소 에피택셜층의 성장 방법 및 전력 소자
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TWI767403B (zh) * 2019-11-08 2022-06-11 美商應用材料股份有限公司 沉積具有減少的表面粗糙度的材料之方法
US11618949B2 (en) 2019-11-08 2023-04-04 Applied Materials, Inc. Methods to reduce material surface roughness
TWI802410B (zh) * 2019-11-08 2023-05-11 美商應用材料股份有限公司 沉積具有減少的表面粗糙度的材料之方法
US11939674B2 (en) 2019-11-08 2024-03-26 Applied Materials, Inc. Methods to reduce material surface roughness

Also Published As

Publication number Publication date
US20180096842A1 (en) 2018-04-05
WO2018063825A1 (en) 2018-04-05
US10297442B2 (en) 2019-05-21
KR102611346B1 (ko) 2023-12-07
CN109791871B (zh) 2024-04-02
KR20190050855A (ko) 2019-05-13
KR20220080028A (ko) 2022-06-14
US20180240664A9 (en) 2018-08-23
CN109791871A (zh) 2019-05-21
KR20230169473A (ko) 2023-12-15
KR102406467B1 (ko) 2022-06-07

Similar Documents

Publication Publication Date Title
TW201823159A (zh) 漸變或多層矽碳化物膜之基於遠端電漿的沉積
KR102545881B1 (ko) 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
US20240145234A1 (en) Conformal deposition of silicon carbide films
KR102582838B1 (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102480201B1 (ko) 산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착
TWI693295B (zh) 碳化矽膜之保形沉積
CN113195786A (zh) 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
CN112514030A (zh) 使用含硅和含碳前体的基于远程等离子体的碳化硅膜沉积
CN112469846A (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积