JP4566651B2 - 低比誘電率膜を形成する方法 - Google Patents

低比誘電率膜を形成する方法 Download PDF

Info

Publication number
JP4566651B2
JP4566651B2 JP2004235463A JP2004235463A JP4566651B2 JP 4566651 B2 JP4566651 B2 JP 4566651B2 JP 2004235463 A JP2004235463 A JP 2004235463A JP 2004235463 A JP2004235463 A JP 2004235463A JP 4566651 B2 JP4566651 B2 JP 4566651B2
Authority
JP
Japan
Prior art keywords
oxygen
silicon carbide
power
source
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2004235463A
Other languages
English (en)
Other versions
JP2005064518A (ja
Inventor
カマル・キショー・ゴンダル
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2005064518A publication Critical patent/JP2005064518A/ja
Application granted granted Critical
Publication of JP4566651B2 publication Critical patent/JP4566651B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、SiCOのような酸素がドープされたシリコンカーバイド層に関し、特に高弾性率及び硬度を有する低比誘電率かつ低リーク電流の酸素ドープシリコンカーバイド層を形成する方法に関する。
集積回路は、単一の半導体チップ上で半導体デバイスの不連続層を電気的に相互接続するための複数レベルの金属層を含む複雑なデバイスに発展した。近年、集積回路コンポーネントの高集積化及び高密度化の進化に伴い、より高速のデータ伝送速度が要求されている。このため、RC遅延を小さくするべく高い弾性率及び硬度とともに低リーク電流及び低比誘電率を有する絶縁膜が使用されている。
デバイスの寸法が縮小するに従い、相互接続システムのRC遅延は集積回路性能にとって最も重要な制限要因のひとつとなる。RC遅延は金属の抵抗及び絶縁体の比誘電率と直接比例する。信号伝播遅延を最小化するために、層間及び層内誘電体(ILD)として低比誘電率材料を使用することは避けられない。
低比誘電率膜を与えるための初期のアプローチは、フッ素のような他の成分で酸化シリコン材料をドーピングするというものであり、比誘電率は約3.5から3.9までしか低下しなかった。フッ素がドープされたシリコン酸化膜は比誘電率をわずかしか低下させないので、より低い比誘電率を有する他の解決方法が要求された。また、フッ素ドープシリコン酸化膜の水分に関する安定性には問題がある。
平坦面を有する酸化シリコン層を与えるためのアプローチにおいて、スピン-オン-グラス組成物が米国特許第4,670,299号に与えられるようなポリ有機シルセスキオキサンを使って用意された。この膜の利点は2.6から3.0の低比誘電率を有する点にあり、酸化シリコンタイプの膜の中では高い機械的強度も維持する。
しかし、POQSと呼ばれるポリ有機シルセスキオキサンのような有機ポリシリカから形成される膜の利点とより低い比誘電率(k<2.5)を組み合わせた最終的な絶縁膜を有することが有利であろう。この結果を達成する最も好ましい方法はPOQSと、低比誘電率を有する他の物質とを混合することである。低比誘電率を有する物質は空気(k=1)である。より低い比誘電率を達成するためには、多孔性をPOQS材料に導入する必要がある。しかし、多孔性を導入する処理は複雑でかつ遅い。
また、相互接続配線のサイズ及びバイアスを減少するためには、従来のアルミニウムから低電気抵抗を有する銅配線へ配線材料を変えるべきである。しかし、多層銅配線を有する半導体デバイスを製造するために、低比誘電率絶縁層が層間絶縁膜として銅配線上に形成される。
相互接続材料として銅を使用することにはさまざまな問題がある。例えば、銅は銅配線から低比誘電率絶縁膜中へ簡単に拡散され、上部及び下部配線間でリーク電流が増加してしまう。
銅拡散バリア層としてシリコンカーバイド膜を使用することが米国特許第5,800,878号に記載されている。この膜の比誘電率は約5であり、層間絶縁膜の比誘電率が3であるところの130nm-ノードの大規模集積回路用の銅拡散バリア層として使用されている。
米国特許第5,800,878号
次世代の100nm/65nmノードの超大規模集積回路技術では、電力消費とともに信号遅延を抑制するために相互接続容量の削減が重要である。2.5以下の比誘電率を有する層間絶縁膜が銅ダマシン構造とともに使用される。間隔の狭い配線の有効比誘電率を減少させるには、層間絶縁膜それ自身の比誘電率ばかりでなく、ハードマスク、エッチストップ層及び銅拡散バリア層のような補助絶縁膜の比誘電率をさらに減少することが必要である。しかし、その処理は困難である。
銅と銅拡散バリア層との間のインターフェースは銅相互接続のエレクトロマイグレーション信頼性に対するキーポイントであることが知られている。銅と銅拡散バリア層との間のインターフェースは主要な拡散経路である。しかし、銅相互接続に対する主要経路の同定についての報告は存在しない。一方、インターフェースは主要経路ばかりでなくエレクトロマイグレーション誘導ボイド核形成サイトでもある。
インターフェースでの銅原子が拡散層から剥ぎ取られる際エレクトロマイグレーション誘導ボイド核が形成されるため、銅と拡散層との間の接着の強度はエレクトロマイグレーション誘導ボイド核形成に影響を及ぼす。金属原子のマイグレーションを防止するために、当該膜は約20から30℃の室温において空気に直接さらされた後でさえ安定な膜応力を有しなければならない。また、当該膜の1MV/cmでのリーク電流及び比誘電率は、それぞれ1×10−9A/cm2及び3.5以下でなければならない。1MV/cmでのリーク電流が1×10−9A/cm2以下であるような3.5以下の比誘電率を有するSiCO膜が当該膜の替わりとして適当であると提案されている。
エッチストップ膜としてシリコンカーバイド膜を使用することは米国特許第5,800,878号に記載されている。シリコンカーバイド膜の比誘電率は約5である。シリコンカーバイド膜は、比誘電率が約3である炭素含有シリコン酸化膜と組み合わせて銅配線を使用するLSIデバイスに適用される。一般にシリコンカーバイド膜と呼ばれるものにはいくつかの異なるタイプの組成が存在する。ひとつのタイプは、Si、C及びHから成るシリコンカーバイド膜である。この膜の応力及び比誘電率は大気中に放置されれば変化する。これはシリコンカーバイド膜の最表面が酸化するためである。シリコンカーバイドのような炭素含有材料の酸化を、ヘリウム、アルゴンのような不活性ガスプラズマによって最小化するための方法が特開2001−60584に記載されている。この不活性ガスプラズマ処理はシリコンカーバイド膜の最表面の酸化を最小化するのみであるが、膜特性の変更/改善は観測されていない。
窒素ドープシリコンカーバイド(SiCN)、酸素ドープシリコンカーバイド(SiCO)を形成する方法は、米国特許出願公開第2001/0030369号、第2002/0027286号、第2001/0051445号、及び第2001/0031563号に記載されている。また、これらの膜は銅拡散バリア層として提案された。窒素ドープシリコンカーバイド層は低リーク電流を有する銅拡散バリア層として提案されたが、その比誘電率は5で高い。
したがって、銅拡散バリア層の特性も備えICデバイスの製造に有用な低比誘電率膜であって、機械的強度が高く、高温で使用でき、かつ製造が容易で早いところの膜が要求される。
したがって、銅ダマシン構造が使用される次世代の100nm/65nmノードの超大規模集積回路技術に用いられる、銅拡散バリア層特性を備える低比誘電率層を形成する新規な方法を開発することが所望される。
本発明のさまざまな態様を使って開発された酸素ドープシリコンカーバイド膜(SiCO)のような低比誘電率層及び銅拡散バリア層の主な特性は以下のとおりである。
a)比誘電率が3.0以下である。
b)20℃から30℃の間の室温で空気に直接さらしたときでも膜応力または膜比誘電率のような膜特性の変化は観測されない。
c)1MV/cmでのリーク電流は非常に低く、5×10−9A/cm2以下である。
d)弾性率及び硬度はそれぞれ10GPa及び2GPa以上である。
本発明のひとつの好適な態様に従い、集積回路製造処理に使用するための高い弾性率及び硬度を有する低比誘電率で低リーク電流のシリコンカーバイド膜を形成する方法が与えられる。該シリコンカーバイド膜は、プラズマCVDリアクタ内で電場の存在下において、ジビニルジメチルシラン(Si(CH=CH2)2(CH3)2)、トリメチルシラン(SiH(CH3)3)またはTMSと呼ばれるテトラメチルシラン(Si(CH3)4)のようなアルキルシリコン化合物、酸素(O2)または二酸化炭素(CO2)のような実質的な酸素ソース、及びアルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)またはキセノン(Xe)を導入することによって、基板上に蒸着される。
高周波RF電力が13.56MHzから30MHzの範囲にあり、低周波RF電力が200kHzから500kHzの範囲にあり、全電力に対する低周波電力の比率が約0.5以下となるように混合した高周波及び低周波RF電力が電場を生成する。本発明におけるシリコンカーバイド膜のリーク電流及び比誘電率は過剰な量の酸素及び不活性ガスを導入することによって減少する。
ここに開示された、CVDチャンバ内で基板上に低比誘電率、低リーク電流、高弾性率及び高硬度を有するシリコンカーバイド膜を蒸着するための方法は、基板を含む反応領域内にシリコンソース、炭素ソース、酸素ソース及び不活性ガスを与える工程と、基板上に低比誘電率及び低リーク電流のシリコンカーバイド膜を蒸着するべくプラズマの存在下でシリコン、炭素及び酸素ソースを反応させる工程と、から成る。
混合した高及び低周波RF電力は電場を生成する。ここで全電力に対する低周波の比率は約0.5以下である。生成されたシリコンカーバイド膜のリーク電流及び比誘電率は炭素濃度並びに導入された酸素及び不活性ガスの量に直接関係する。
シリコンカーバイド層形成
本発明の好適実施例において、シリコンカーバイド層は、シリコンソース、炭素ソース、酸素ソース及び不活性ガスを含む混合ガスをプラズマ強化化学気相成長(PECVD)チャンバ内で反応させることによって形成される。処理装置の詳細が図1に示されている。
図1は好適実施例に従うプラズマCVD装置の断面略示図である。半導体基板9または他の基板上に薄膜を形成するのに使用されるプラズマCVD装置1は、反応チャンバ2、半導体ウエハ9を支持するために反応チャンバ内に与えられる支持体3、該支持体3に対向して配置され半導体基板9に反応ガスを均一に噴射するのに使用されるシャワーヘッド4、反応チャンバ2から反応ガス及び副生成物を排気するための排気口20、及び遠隔プラズマ放電チャンバ17から成る。遠隔プラズマ放電チャンバ17用のクリーニングガスは、主流量制御器14、バルブ15及び流入ポート16を有する導管18を通じて供給される。遠隔プラズマ放電チャンバ17は配管6及びバルブ7を介してシャワーヘッドへ結合されている。遠隔プラズマ放電チャンバ17は指定された周波数の高周波振動出力エネルギーを使って活性種を生成し、配管6は活性種によって腐食しない材料から作られている。
反応チャンバ内に設けられ、半導体ウエハ9を支持するのに使用される支持体3は、陽極酸化処理されたアルミニウム合金から成り、プラズマ放電の電極の一方を構成するべく接地27されている。図示された実施例の反応チャンバ2はその場(チャンバ内)プラズマ生成用に構成されたプラズマCVDチャンバである。支持体3は埋設されたリング形状の加熱エレメント24を有するヒータ5を含む。半導体ウエハの温度は温度制御装置26を使って、所定の温度に制御される。支持体3はピストン25を通じて支持体3を上下に移動させる駆動機構(図示せず)に結合されている。
反応チャンバ2内には、支持体3と対向する位置にシャワーヘッド4が設けられている。シャワーヘッド4には、半導体ウエハ9上に反応ガスを噴射するために数千個の細孔が設けられている。シャワーヘッドはマッチング回路10を通じて高周波発振器8、8’に電気的に接続されている。シャワーヘッド4から膜形成用に使用すべき反応ガスを導入するために、反応ガス導管12には、バルブ15及び配管6への流量を制御するバルブ11並びに質量流量制御装置14が結合されている。ガス導管の数はひとつに制限されない。反応ガスの種類に従い、任意の数のガス導管が設置され得る。ガス導管12の一端は反応ガスが流入できるようガス流入ポート13を構成し、他端はガスがシャワーヘッド4の導入口29へ流れるよう反応ガス流出ポートを構成する。
排気口20は配管19を通じて真空ポンプ(図示せず)に結合されている。排気口20と真空ポンプとの間には、反応チャンバ2内部の圧力を調節するためにコンダクタンス制御バルブ21が設けられている。コンダクタンス制御バルブ21は外部のレギュレータ22及び反応チャンバ2内部の圧力を測定するよう与えられた圧力計23へ電気的に接続される。半導体ウエハ9はゲートバルブ30によって制御される開口部28を通じて反応チャンバ2内へ搬入される。
シリコン及び炭素ソースは、一般式SixCyHzを有するアルキルシリコン化合物であり、ここで、xは1から2の範囲であり、yは1から6の範囲であり、zは6から20の範囲である。例えば、中でもジビニルジメチルシラン、トリメチルシラン、及びテトラメチルシランがアルキルシリコン化合物として使用される。酸素ソースは酸素(O2)及び二酸化酸素(CO2)である。ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、クリプトン(Kr)、及びキセノン(Xe)が不活性ガスとして使用される。
一般に、200mmシリコンウエハ上にシリコンカーバイド膜を形成する蒸着処理パラメータは、約200℃から約400℃(好適には300℃から350℃)の基板温度範囲、約300Paから1000Paのチャンバ圧力、約100sccmから1000sccmのアルキルシリコン化合物の流量、約10sccmから500sccmの酸素のような酸素ソース流量、及び約200sccmから5000sccmの不活性ガス流量を含む。ここで、不活性ガスに対するアルキルシリコン化合物の流量比は約1:1から1:10の範囲にある。処理はまた、約100Wから2000Wの範囲の電力を有する13MHzから30MHz(高周波)の範囲の周波数を有する少なくとも第1RF電力、及び約10Wから500Wの範囲の電力を有する100kHzから500kHz(低周波)の範囲の周波数を有する少なくとも第2RF電力を有する混合周波数RF電力を含む。したがって、全電力に対する低周波電力の比率は約0.5以下であり、RF電力ソースは電場を生成する。好適には、第1RF電力は100Wから1000Wの範囲にあり、第2RF電力は10Wから250Wの範囲にある。好適には、300kHzから450kHzの範囲の周波数を有する第2RF電力が第1RF電力と組み合わせて使用される。
全混合周波数電力に対する第2RF電力の比率は好適には約0.5から1.0以下である。反応チャンバ内で200mm基板上に成膜される場合、上記処理パラメータは約0.2μm/minから1μm/minの範囲のシリコンカーバイド層の蒸着速度を与える。
膜形成工程及びパラメータの詳細は以下に説明されている。
シリコンカーバイド膜形成
本発明に従い基板上にシリコンカーバイド膜を成長させるための処理例として、表1から3に記載されたパラメータが使用された。
Figure 0004566651
Figure 0004566651
Figure 0004566651
シリコンカーバイド膜形成条件/シーケンス
200mmウエハ上にシリコンカーバイド層を蒸着するために、テトラメチルシラン(TMS)のような反応ガスソースが反応領域内に導入される。酸素は酸素ソースとして使用される。ヘリウムは不活性ガスとして使用される。ガス流量については表1から3を参照。反応チャンバは好適には約300Paから1000Paに維持され、より好適には約300Paから800Paに維持される。27.12MHz及び400kHzの混合周波数RF電力ソースは、好適にそれぞれ少なくとも約100Wから2000W及び少なくとも10Wから500Wを分配する。より好適には、400Wの27.12MHzのRF電力及び100Wの400kHzのRF電力が成膜用に適用される。
シリコンカーバイド膜蒸着工程は2つの工程に分割される。第1工程において、図3に示されるようにTMS、O2、Heを流しかつRF電力を印加することによって基板上にベース膜(第一層、以下同様)が形成される(TMS=300sccm;O2=100sccm;He=400sccm;400Wで27.12MHz、100Wで400kHz、基板温度=340℃;チャンバ圧力=733Pa)。
第2工程において、活性プラズマ処理工程が実行される。ベース膜形成工程の後、第2の膜形成が続けて実行される。この工程において、プラズマ放電を変化させずにTMS及びO2流量を減少させながら、ヘリウム流量を増加させる。活性プラズマ処理中に膜形成が続行されることを考慮しなければならない(TMS=0sccmへランプダウン;O2=0sccmまでランプダウン;He=2.5slmまでランプアップ;400Wで27.12MHz;100Wで400kHz;基板温度=340℃;チャンバ圧力=733Pa)。
上記工程及び条件を使って蒸着されたシリコンカーバイド膜のベース膜特性が表4に示されている。
ベース膜形成工程だけで蒸着されたシリコンカーバイド膜は不安定であり、その膜応力及び比誘電率は室温で空気に晒すと変化する。これは表面層の酸化によるものである。SiCのような炭素含有膜の酸化を最小化する方法が米国特許出願公開第2002/054962号に記載されているが、膜特性の変化/改善は観測されていない。また、窒素雰囲気中で10時間の間、400℃でアニールが実行された場合、膜応力の劇的変化が観測された。応力の変化は約400MPaであり、それは結果として熱応力の不安定性を意味する。
本発明の活性プラズマ処理がシリコンカーバイド膜に実行されると、膜応力及び比誘電率の不安定な現象が解決される。また、比誘電率及びリーク電流が減少する。さらに、窒素雰囲気中で10時間の間400℃でアニールした後、膜特性の変化はほとんど観測されなかった。上記された実施例にしたがって蒸着されたシリコンカーバイド膜は良好な熱応力特性を有すると推定される。
ここで説明されたPECVD処理により蒸着されるシリコンカーバイド膜は、従来のシリコンカーバイド膜に比べ、非常に低い比誘電率及びリーク電流を有する。また、上記にしたがって蒸着されたシリコンカーバイド膜は、高い弾性率及び高い硬度のような機械的特性を有する。シリコンカーバイド膜は低及び高周波を混合することなく蒸着されてもよい。しかし、高及び低周波RF電力の好適な混合は、不活性ガスの分子によるシリコンカーバイド膜の衝撃によって生じる膜特性の悪化を改善する。膜中のSi-C結合の比率を増加させることで、シリコンカーバイド膜中の硬度が大きくなりかつ弾性率が高くなる。
以下の実施例は、本発明に従って蒸着されたシリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。図2(a)から2(j)は本発明に従って蒸着されたシリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。銅(Cu)層31はまずシリコンカーバイド層32に覆われる。この実施例に従うシリコンカーバイド層32は低リーク電流、低比誘電率、高弾性率及び高硬度を有し酸素含有量が低いので、銅拡散バリア層として使用するのに最も適した材料であると言える。シリコンカーバイド層32を蒸着する前に、銅表面は表面に残存するあらゆる銅酸化物を除去することによって改良される。典型的に、水素(H2)、アンモニア(NH3)またはメタン(CH4)プラズマベース還元がシリコンカーバイド層32の蒸着前に使用される。CMP残留物を除去するためのこの銅表面還元はPECVDチャンバ内で実行される。
シリコンカーバイド層32の蒸着後、フォトレジスト33が図2(b)に示されるように被覆される。ビアホール及びトレンチを形成するために、あらゆる適当な方法が用いられる。以下はその一例である。
ビアホール35はエッチングによって形成される。ビアホールを形成する処理が以下に記載される。まず、フォトレジスト33が図2(c)に示されるように除去34される。次に、図2(d)に示されるように、シリコンカーバイド層32を通じてビアエッチングが開始される。最後に、下層の銅層を露出させるためにシリコンカーバイド貫通工程が実行される。
ビア形成後、トレンチパターニングが開始される。図2(e)に示されるように、ビアエッチ及びクリーニングが実行された後、ウエハは犠牲低吸収材料(SLAM)で被覆され、トレンチフォトレジスト(図示せず)によってパターン化される。トレンチエッチ後、SLAMはビアの底及びウエハの頂上部(図示せず)に残る。図2(f)に示されるように、SLAMはトレンチエッチクリーニング工程の間シリコンカーバイドに対し高い選択性を有するウエハ上のいたるところから除去される。
TaNまたはTiN37のような銅バリア層が、図2(g)に示されるようにビアホール内部に形成される。銅シード層38がさらにPVD等によって蒸着される。その後、電気めっき等により穴36内に銅39が蒸着される。CMP等によって、銅バリア層37、銅38、レジスト33及び余分量のシリコンカーバイド層が除去され、表面40が露出する。シリコンカーバイド層はデバイスをキズから保護するための不動態層(図示せず)として蒸着されてもよい。
従来のシリコンカーバイドバリア層の比誘電率及び1MV/cmでのリーク電流はそれぞれ約5及び5×10−7A/cm2であり、それに対してここで説明されたように製造されたシリコンカーバイド膜ではそれぞれ約2.8及び5×10−10A/cm2である。
また、本発明に従うシリコンカーバイド膜は、例えばフルオロシリケート(FSG)、水素シルセスキオキサン(HSQ)、メチルシルセスキオキサン(MSQ)及びその他から典型的に作られる他の低比誘電率膜に比べ、それぞれ約10GPa以上及び2GPa以上の高い弾性率及び硬度を有して機械的に強い。
本発明の利点は、このシリコンカーバイド層が、(1)より高い降伏電圧、(2)より低いリーク電流、及び(3)より高い膜安定性及び硬度の点で改良された機械的特性、を含む改良された電気的特性を有することである。さらに、本発明に従うシリコンカーバイドは3.0以下の比誘電率を示し、それはデバイスの電気的性能を改善する。
したがって、従来のシリコンカーバイド層を使用した場合と対照的に、ここに説明されたように製造されたシリコンカーバイド層は2MV/cmの電場でも銅拡散を防止する点で同じ効果を維持することができる。また、生成されたシリコンカーバイド層は、蒸着中に生成される混合全周波電力に対する低周波電力の比率及び混合比並びにシリコンカーバイドを形成するのに使用されるガスの比率に依存して、典型的に約3.0以下の比較的低い比誘電率を有する。
膜形成は以下の表4に示される蒸着条件に従って実行された。
Figure 0004566651
蒸着されたシリコンカーバイド層の比誘電率及び1MV/cmでのリーク電流はそれぞれ約3.0及び5×10−10A/cm2以下であり、集積回路内で絶縁膜として使用するのに適している。蒸着条件にしたがって蒸着されたシリコンカーバイド層の比誘電率、リーク電流及び膜応力の詳細は表5に示されている。シリコンカーバイド層の比誘電率は、それが混合周波数RF電力の比率の関数として変化するという点で調節可能である。特に、全混合電力に対する低周波RF電力の比率が減少するに従い、シリコンカーバイド層の比誘電率もまた減少する。
シリコンカーバイド層の比誘電率は層形成中の混合ガスの組成の関数としても調節可能である。混合ガス中の炭素濃度が増加するに従い、蒸着されるシリコンカーバイド層の炭素濃度が増加し、シリコンカーバイド膜の密度が小さくなり、比誘電率が減少する。また、シリコンカーバイド層の炭素濃度が増加するに従い、疎水性が増加し、集積回路内での水分バリアとして使用するのに適している。
さらに、シリコンカーバイド層は酸素含有量が小さい。シリコンカーバイド層のバリア能力をチェックするために熱アニール試験が使用された。銅原子のシリコンカーバイド膜中への熱貫入が二次イオン質量分光計(SIMS)によって測定された。シリコンカーバイド層の銅貫入深さは18nm以下であり、それは銅の熱拡散が効果的にブロックされていることを示している。それは、低酸素含有シリコンカーバイド層は金属拡散を最小化し、バリア層特性を改良することを示す。例えば、シリコンカーバイド層は1MV/cmで約1×10−8A/cm2以下の電流ブロック能力を有し、それは集積回路相互接続構造間のクロストークを最小化するのに適している。
Figure 0004566651
図1は、プラズマCVD装置の断面略示図である。 図2Aは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Bは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Cは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Dは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Eは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Fは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Gは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Hは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Iは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図2Jは、シリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。 図3は、SiCO膜を形成するためのシーケンスの例(蒸着レシピ)を示す。

Claims (29)

  1. 基板上に酸素がドープされたシリコンカーバイド層を蒸着するための方法であって、シリコンソース、炭素ソース及び酸素ソース並びに不活性ガスを基板を含む反応領域内に与える工程と、反応領域内に電場を生成する工程であって、該電場はRF電源によって生成された低及び高周波RFエネルギーを使って生成され、該RF電源は反応領域内でプラズマ放電用に使用される電極表面において平均電力を生成するところの工程と、基板上に酸素がドープされたシリコンカーバイド膜を蒸着するべくシリコン炭素及び酸素ソースガスを反応させる工程と、から成り、RF電源は処理時間中に高周波RF電力及び低周波RF電力を生成し、
    前記酸素がドープされたシリコンカーバイド膜の形成は、
    i)ベース膜形成工程であって、該ベース膜はシリコンソース、炭素ソース及び酸素ソース並びに不活性ガスを流しかつRF電力を印加することによって基板上に形成されるところの工程と、
    ii)活性プラズマ処理工程であって、ベース膜形成工程の後、第2の膜形成工程が続けて実行され、そこではプラズマ放電を変化させずにシリコンソース、炭素ソース及び酸素ソースの流量を減少させながら不活性ガスの流量を増加させる、ところの工程とから成るところの方法。
  2. 請求項1に記載の方法であって、
    高周波RF電力は13MHzと30MHzとの間の周波数及び200ワットと1000ワットとの間の電力を有し、
    低周波RF電力は100kHzと500kHzとの間の周波数及び50ワットと500ワットとの間の電力を有する、
    ところの方法。
  3. 請求項1に記載の方法であって、全RF電力に対する低周波RF電力の比率が0.5以下である、ところの方法。
  4. 請求項1に記載の方法であって、電極表面の平均電力は実質的に一定である、ところの方法。
  5. 請求項1に記載の方法であって、シリコン及び炭素ソースは、トリメチルシラン、テトラメチルシラン、またはジビニルジメチルシランのうちのひとつである、ところの方法。
  6. 請求項1に記載の方法であって、不活性ガスは、ヘリウム、アルゴンまたはクリプトンのうちのひとつである、ところの方法。
  7. 請求項1に記載の方法であって、酸素ソースは、酸素または二酸化炭素のいずれかまたは両方である、ところの方法。
  8. 請求項1に記載の方法であって、不活性ガスに対するシリコン及び炭素ソースガスの流量比は1:1と1:10の間である、ところの方法。
  9. 請求項1に記載の方法であって、シリコン及び炭素ソースガスは200sccmと500sccmの間の流量で反応領域内に与えられる、ところの方法。
  10. 請求項1に記載の方法であって、基板は200℃と400℃の間の温度に加熱される、ところの方法。
  11. 請求項1に記載の方法であって、基板は320℃と350℃の間の温度に加熱される、ところの方法。
  12. 請求項1に記載の方法であって、反応領域は300Paと1000Paの間の圧力に維持される、ところの方法。
  13. 請求項1に記載の方法であって、反応領域は500Paと800Paの間の圧力に維持される、ところの方法。
  14. 基板上に酸素がドープされたシリコンカーバイド層を蒸着するための方法であって、シリコンソース、炭素ソース及び酸素ソース並びに不活性ガスを基板を含む反応領域内に与える工程と、反応領域内に電場を生成する工程であって、該電場はRF電源によって生成された低及び高周波RFエネルギーを使って生成され、該RF電源は反応領域内でプラズマ放電用に使用される電極表面において平均電力を生成するところの工程と、基板上に酸素がドープされたシリコンカーバイド膜を蒸着するべくシリコン炭素及び酸素ソースガスを反応させる工程と、から成り、RF電源は処理時間中に高周波RF電力及び低周波RF電力を生成し、
    前記酸素がドープされたシリコンカーバイド膜の形成は、
    i)ベース膜形成工程であって、該ベース膜はテトラメチルシラン、酸素及びヘリウムを流しかつRF電力を印加することによって基板上に形成されるところの工程と、
    ii)活性プラズマ処理工程であって、ベース膜形成工程の後、第2の膜形成工程が続けて実行され、そこではプラズマ放電を変化させずにテトラメチルシラン及び酸素の流量を減少させながらヘリウムの流量を増加させる、ところの工程と、から成るところの方法。
  15. 請求項14に記載の方法であって、膜形成は活性プラズマ処理工程の間に続行される、ところの方法。
  16. 請求項14に記載の方法であって、活性プラズマ処理工程中のヘリウム流量は1500sccmから3000sccmまで増加される、ところの方法。
  17. 請求項14に記載の方法であって、活性プラズマ処理工程中の酸素流量は50sccmから0sccmまで減少される、ところの方法。
  18. 請求項14に記載の方法であって、活性プラズマ処理工程中のテトラメチルシラン流量は100sccmから0sccmまで減少される、ところの方法。
  19. 請求項14に記載の方法であって、活性プラズマ処理工程中のヘリウム、テトラメチルシラン及び酸素流量は、プラズマ放電を変化させることなくそれぞれ増加、減少及び減少される、ところの方法。
  20. 請求項14に記載の方法であって、活性プラズマ処理工程中に全RF電力に対する低周波RF電力の比率はベース膜形成工程中と実質的に同じであり、それは0.5以下である、ところの方法。
  21. 請求項14に記載の方法であって、活性プラズマ処理工程中の圧力はベース膜形成工程中と実質的に同じであり、それは500Paと800Paの間の圧力に維持される、ところの方法。
  22. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド層は3.0以下の比誘電率を有する、ところの方法。
  23. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド層は1MV/cmの電場において5×10−10A/cm2以下のリーク電流を有する、ところの方法。
  24. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド層は、フルオロシリケート、水素シルセスキオキサン及びメチルシルセスキオキサンの低比誘電率膜と比べ、弾性率及び硬度がそれぞれ10GPa以上及び2GPa以上で機械的強度が高い、ところの方法。
  25. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド層は金属拡散を最小化しかつバリア層特性を改善する、ところの方法。
  26. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド層の比誘電率は、それが混合周波数RF電力の比率の関数として変化するという点で調節可能である、ところの方法。
  27. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド層の比誘電率は膜形成中の混合ガスの組成の関数として調節される、ところの方法。
  28. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド膜は銅拡散バリア層である、ところの方法。
  29. 請求項14に記載の方法であって、酸素がドープされたシリコンカーバイド膜は低比誘電率膜である、ところの方法。
JP2004235463A 2003-08-18 2004-08-12 低比誘電率膜を形成する方法 Active JP4566651B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/643,200 US6849561B1 (en) 2003-08-18 2003-08-18 Method of forming low-k films

Publications (2)

Publication Number Publication Date
JP2005064518A JP2005064518A (ja) 2005-03-10
JP4566651B2 true JP4566651B2 (ja) 2010-10-20

Family

ID=34080754

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004235463A Active JP4566651B2 (ja) 2003-08-18 2004-08-12 低比誘電率膜を形成する方法

Country Status (3)

Country Link
US (1) US6849561B1 (ja)
JP (1) JP4566651B2 (ja)
KR (1) KR20050020612A (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
JP2006294671A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 低誘電率炭化珪素膜の製造方法
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP5040162B2 (ja) * 2006-04-27 2012-10-03 東ソー株式会社 アルケニル基含有有機シラン化合物から成るSi含有膜形成材料およびその用途
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
KR101312292B1 (ko) * 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US7939911B2 (en) * 2008-08-14 2011-05-10 International Business Machines Corporation Back-end-of-line resistive semiconductor structures
US7977201B2 (en) * 2008-08-14 2011-07-12 International Business Machines Corporation Methods for forming back-end-of-line resistive semiconductor structures
KR20100128479A (ko) * 2009-05-28 2010-12-08 진중 김 금속박막과 화합물반도체 광흡수층 박막의 연속 제조장치
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
TWI693295B (zh) * 2015-02-06 2020-05-11 美商諾發系統有限公司 碳化矽膜之保形沉積
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN104947049A (zh) * 2015-05-14 2015-09-30 宁波时代全芯科技有限公司 镀膜装置
CN105866638B (zh) * 2016-04-08 2018-08-14 重庆大学 一种城网电缆接头绝缘状态在线监测预警装置及方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
CN111118475A (zh) * 2020-01-15 2020-05-08 中国科学院半导体研究所 用于碳化硅材料生长和后处理的高温装置及方法
CN111235633A (zh) * 2020-01-16 2020-06-05 中国科学院半导体研究所 一种在硅熔体表面通过cvd制备自支撑碳化硅晶圆的方法
CN116904959A (zh) * 2023-07-13 2023-10-20 淮安捷泰新能源科技有限公司 一种碳化硅薄膜制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684888A (ja) * 1992-02-27 1994-03-25 G T C:Kk 絶縁膜の形成方法
JP2002190522A (ja) * 2000-07-28 2002-07-05 Applied Materials Inc 誘電体フィルムの堆積方法
JP2002217189A (ja) * 2000-09-08 2002-08-02 Applied Materials Inc 炭化ケイ素膜のデュアルプラズマ処理
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
JP2003309116A (ja) * 2002-04-12 2003-10-31 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2004134560A (ja) * 2002-10-10 2004-04-30 Asm Japan Kk シリコンカーバイド膜の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US20020137323A1 (en) * 2001-01-03 2002-09-26 Loboda Mark Jon Metal ion diffusion barrier layers
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684888A (ja) * 1992-02-27 1994-03-25 G T C:Kk 絶縁膜の形成方法
JP2002190522A (ja) * 2000-07-28 2002-07-05 Applied Materials Inc 誘電体フィルムの堆積方法
JP2002217189A (ja) * 2000-09-08 2002-08-02 Applied Materials Inc 炭化ケイ素膜のデュアルプラズマ処理
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
JP2003309116A (ja) * 2002-04-12 2003-10-31 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2004134560A (ja) * 2002-10-10 2004-04-30 Asm Japan Kk シリコンカーバイド膜の製造方法

Also Published As

Publication number Publication date
US6849561B1 (en) 2005-02-01
JP2005064518A (ja) 2005-03-10
KR20050020612A (ko) 2005-03-04
US20050042883A1 (en) 2005-02-24

Similar Documents

Publication Publication Date Title
JP4566651B2 (ja) 低比誘電率膜を形成する方法
US6991959B2 (en) Method of manufacturing silicon carbide film
US6699784B2 (en) Method for depositing a low k dielectric film (K>3.5) for hard mask application
KR101124781B1 (ko) 층간 부착 개선 방법
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP4090740B2 (ja) 集積回路の作製方法および集積回路
US7238393B2 (en) Method of forming silicon carbide films
US7138332B2 (en) Method of forming silicon carbide films
US6410462B1 (en) Method of making low-K carbon doped silicon oxide
US6669858B2 (en) Integrated low k dielectrics and etch stops
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7964442B2 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US20090146265A1 (en) ULTRA LOW k PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION PROCESSES USING A SINGLE BIFUNCTIONAL PRECURSOR CONTAINING BOTH A SiCOH MATRIX FUNCTIONALITY AND ORGANIC POROGEN FUNCTIONALITY
KR20070108918A (ko) 원자층 증착을 위한 표면의 플라즈마 전처리
TWI392024B (zh) 將溼蝕刻之底切最小化以及提供超低介電常數(k<2.5)之介電質封孔之方法
JP4633348B2 (ja) 積層構造体の形成方法及び絶縁膜の集積方法
EP1186685A2 (en) Method for forming silicon carbide films
US20040147115A1 (en) Two-step formation of etch stop layer
US20020142104A1 (en) Plasma treatment of organosilicate layers
JP4034197B2 (ja) 半導体装置の製造方法
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
JP2006004996A (ja) 層間絶縁膜及び拡散防止膜とこれらのソース材料、膜形成方法、膜形成用プラズマcvd装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071114

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100713

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100803

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100804

R150 Certificate of patent or registration of utility model

Ref document number: 4566651

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250