KR101295604B1 - 고품질 저온 질화규소층 형성 방법 및 장치 - Google Patents

고품질 저온 질화규소층 형성 방법 및 장치 Download PDF

Info

Publication number
KR101295604B1
KR101295604B1 KR1020067014411A KR20067014411A KR101295604B1 KR 101295604 B1 KR101295604 B1 KR 101295604B1 KR 1020067014411 A KR1020067014411 A KR 1020067014411A KR 20067014411 A KR20067014411 A KR 20067014411A KR 101295604 B1 KR101295604 B1 KR 101295604B1
Authority
KR
South Korea
Prior art keywords
silicon nitride
nitride layer
silicon
source gas
substrate
Prior art date
Application number
KR1020067014411A
Other languages
English (en)
Other versions
KR20070026367A (ko
Inventor
슈린 왕
에롤 안토니오 씨. 산체즈
아이후아(스티븐) 첸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070026367A publication Critical patent/KR20070026367A/ko
Application granted granted Critical
Publication of KR101295604B1 publication Critical patent/KR101295604B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

질화규소층을 형성하는 방법이 기재된다. 본 발명에 따라서, 질화규소층은 질화규소층을 형성하기 위하여 낮은 증착 온도(가령, 550℃ 이하의 온도)에서 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해함으로써 증착된다. 열분해된 질화규소층은 그 후 처리된 질화규소층을 형성하기 위하여 수소 라디컬로 처리된다.

Description

고품질 저온 질화규소층 형성 방법 및 장치{A METHOD AND APPARATUS FOR FORMING A HIGH QUALITY LOW TEMPERATURE SILICON NITRIDE LAYER}
본 발명은 박층 형성의 분야에 관한 것이고 보다 구체적으로는 질화규소층을 형성하는 방법 및 장치에 관한 것이다.
현대 집적 회로는 기능적 회로에 함께 집적된 문언상으로 수백만 개의 트랜지스터로 이루어진다. 집적 회로의 계산력 또는 저장 용량을 더 증가시키기 위해서, 게이트 길이와 게이트 산화물 두께와 같은 트랜지스터 피쳐(feature) 사이즈는 더 작게 스케일링되어야 한다. 불행히도, 트랜지스터 게이트 길이는 빈번히 스케일링되기 때문에, 트랜지스터의 전기적 특성 및 성능은 소자에서의 도펀트의 열적 재분배(thermal redistribution)에 기인하여 크게 변할 수 있다. 이로 인해, 소자가 추가적으로 스케일링 될 때, 집적 회로를 제조하기 위해 사용되는 열 경비, 즉, 증착 및 공정 온도로부터의 개별 공정 또는 축적 열 입력도 소자의 일관되고 신뢰할 수 있는 전기적 성능을 보장하기 위하여 감소되어야 한다. 또한, 반도체 소자를 추가적으로 스케일링하기 위하여, 소자를 제조하기 위해 사용되는 박층이 높은 구성 및 두께 균일성을 갖고 형성될 수 있어야 한다.
트랜지스터의 형성에 사용되는 한 가지 물질은 질화규소이다. 질화규소 박 층은 전통적으로 반도체 제조 공정에서 열 화학 기상 증착(CVD)에 의해 증착된다. 가령, 질화규소층은 스페이서 층, 에칭 스탑(etch stop) 뿐만 아니라, 커패시터 및 층간(interlayer) 유전체로도 사용된다. 그러나, 열화학 기상 증착을 이용하여 단일 웨이퍼 반응기에 고품질 질화규소층을 형성하는 현재의 기법은 750℃ 이상의 높은 증착 온도를 요하며/요하거나 감소된 온도에서 감소된 증착 속도를 가지며, 결과적으로 트랜지스터 제조를 위한 질화규소의 상당한 정도의 증착으로 귀결될 수 없다.
또한, 질화규소층이 현재의 공정 및 전구체들을 이용하여 감소된 온도 또는 높은 증착 속도로 증착될 때, 층의 품질은 일반적으로 바람직한 것보다 낮다. 예를 들면, 실란, 디클로로실란, 디실란, 비스-터트부틸아미노실란(BTBAS), 및 헥사클로로디실란을 포함하는 현재의 질화규소 전구체는 가령 저밀도 및 높은 수소 함량과 같이 원하는 층 품질보다 열악하게 층을 생산했다. 디실란 및 헥사클로로디실란은 약한 Si-Si 결합을 가지며, 이는 수용할 수 있는 증착 속도를 허용하지만, 암모니아와 같은 질소 소스와 함께 사용될 때, 열악한 막 품질(디실란에 대한 열악한 스텝 커버리지(step coverage) 및 마이크로로딩(microloading) 모두에 대하여 저밀도 및 높은 수소 함량)에 이르거나 또는 (헥사클로로디실란에 대한)거의 관리할 수 없는 입자 생성 중 하나에 이르게 된다.
따라서, 필요한 것은 생산성 있는 증착 속도로 감소된 증착 온도에서 열화학 기상 증착(CVD)에 의해 고품질 질화규소층을 형성하는 방법이다.
발명은 일반적으로 질화규소층과 같은 트랜지스터용 유전층을 형성하는 방법에 관한 것이다. 본 발명에 따르면, 질화규소층은 질화규소층을 형성하기 위하여 감소된 증착 온도에서 규소 함유 소스 가스 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해함으로써 증착된다. 전구체는 Si-N 결합, Si-Cl 결합 또는 이들 모든 결합을 갖는 화합물을 포함한다. 열적으로 증착된 질화규소층은 그 후 수소 라디컬에 노출되어 처리된 질화규소층을 형성한다. 한 개 이상의 Si-Si, N-N, 또는 N=N 결합을 갖는 전구체가 감소된 온도에서 질화규소층을 증착하기 위해 사용된다.
본 발명의 일 측면에서, 550℃ 이하의 온도로 기판을 가열하는 단계, 기판의 표면에 질화규소층을 증착하기 위하여 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해하는 단계, 및 수소 라디컬에 상기 질화규소층을 노출시키는 단계를 포함하는 기판 처리 방법이 제공된다.
본 발명의 다른 측면에서, 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 550℃ 이하의 온도 및 분당 100Å을 초과하는 증착 속도로 150Å 미만의 두께로 열분해하여 질화규소층을 증착하는 단계, 및 상기 증착된 질화규소층을 수소 함유 가스의 플라즈마 분해에 의해 형성된 수소 라디컬에 노출시키는 단계를 포함하는 질화규소층 형성 방법이 제공된다.
본 발명의 다른 측면에서, 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해하여 질화규소층을 증착하는 단계 - 상기 규소 함유 소스 또는 규소 및 질소 함유 소스 가스는 염소 및 탄소를 포함함 -, 처리된 질화규소층을 형성하기 위하여 상기 증착된 질화규소층을 수소 함유 가스의 플라즈마 분해에 의해 형성된 수소 라디컬로 처리하는 단계를 포함하는 질화규소층 형성 방법이 제공된다.
본 발명의 다른 측면에서, 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해하여 질화규소층을 증착하는 단계 - 상기 질화규소층을 증착한 이후에, 상기 질화규소층은 15 원자 퍼센트를 초과하는 수소 농도 및 10 원자 퍼센트를 초과하는 탄소 농도를 가짐 -, 및 상기 질화규소층이 10 원자 퍼센트 미만의 수소 농도와 5 원자 퍼센트 미만의 탄소 농도를 가질 때까지 상기 증착된 질화규소층을 수소 라디컬로 처리하는 단계를 포함하는 질화규소층 형성 방법이 제공된다.
본 발명의 다른 측면에서, 기판을 파지하는 챔버에 위치된 기판 지지체, 상기 기판 지지체상에 배치된 기판을 가열하는 히터, 규소 소스 가스 및 질소 소스 가스 및/또는 규소 및 질소 소스 가스를 포함하는 공정 가스 혼합물을 챔버로 제공하는 가스 주입구, 수소 함유 가스로부터 수소 라디컬을 생성하는 수단, 및 상기 장치의 동작을 제어하는 프로세서/제어기 - 상기 프로세서/제어기는 기판 지지체 상에 배치된 기판을 550℃ 미만의 온도로 가열하고, 그리고 상기 기판상에 질화규소층을 형성하기 위하여 기판을 가열하면서 챔버로 규소 함유 소스 가스 및 질소 함유 소스 가스 또는 규소 및 질소 함유 소스 가스를 제공하는 다수의 명령어, 및 상기 질화규소층을 수소 라디컬로 처리하기 위한 수소 라디컬을 생성하는 수단을 제어하는 명령어를 구비하는 메모리를 포함한다 - 를 포함하는 질화규소층 형성 장치가 제공된다.
도1은 질화규소층을 형성하는 방법의 일 실시예를 도시하는 흐름도.
도2는 질화규소층을 형성하는 방법의 일 실시예를 도시하는 흐름도.
도3A-3C는 질화규소층으로부터 형성된 측벽 스페이서를 갖는 반도체 소자를 형성하는 방법의 일 실시예의 개략 단면도.
도4는 질화규소층을 형성하기 위해 사용될 수 있는 장치의 일 실시예의 개략 단면도.
도5는 질화규소층을 형성하기 위해 사용될 수 있는 클러스터 툴의 일 실시예의 평면도.
본 발명은 감소된 증착 온도에서 형성될 수 있는 고품질 질화규소를 형성하는 것에 관한 것이다. 이하의 기재에서, 증착 및 어닐 설비와 같은 많은 특정 상세는 본 발명의 완전한 이해를 제공하기 위하여 제시되었다. 그러나, 당업자라면 이러한 특정 상세 없이도 본 발명이 실행될 수 있음을 깨달을 것이다. 다른 예에서, 주지된 반도체 공정들은 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위하여 구체적인 상세까지 기재되지 않았다.
열화학 기상 증착(CVD)에 의해 550℃ 미만의 낮은 증착 온도에서 고품질 질화규소층을 형성하는 방법 및 장치가 제공된다. 질화규소층을 증착하는 방법의 일예는 일반적으로 도1의 흐름도를 참조하여 설명된다. 본 발명의 제1 단계에 따르면, 도1의 블록 102에 도시된 것처럼, 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 포함하는 처리 가스 혼합물은 규소종과 질소종(질화규소층이 이들로부터 증착됨)을 생성하도록, 550℃ 이하의 증착 온도(기판 온도)에서, 가령 약 500℃ 미만에서 챔버 내에서 열적으로 분해된다. 소스 가스(들)은 질화규소층이 550℃ 이하의 낮은 증착 온도(즉, 기판 또는 웨이퍼 온도)에서 분당 적어도 50Å의 증착 속도로 그리고 이상적으로는 분당 적어도 100Å의 증착 속도로 열화학 기상 증착에 의해 형성될 수 있도록 선택된다.
전구체
저온에서 충분히 높은 증착 속도로 열화학 기상 증착에 의해 질화규소층을 생성하도록 사용될 수 있는 소스 가스들은 하나 이상의 Si-N결합 또는 Si-Cl결합, 가령 비스-터트부틸아미노실란(bis-tertbutylaminosilane; BTBAS) 또는 헥사클로로디실란(hexachlorodisilane; HCD 또는 Si2Cl6)을 갖는 혼합물을 포함한다. 혼합물은 Si-Si결합, N-N결합, N=N결합, Si-N 및 Si-Cl결합의 혼합물, 또는 이들의 조합을 더 포함할 수 있다.
Si-Cl 작용기(결합) 및 Si-N 작용기(결합)의 조합은 특히 적절한 증착 속도에서 언제나 감소하는 온도에 대해 스텝 커버리지(step coverage) 및 마이크로로딩(microloading)을 개선하는 것이 관측되었다. Si-Cl 그룹의 수는 Si-N 그룹의 수에 대해 변경될 수 있다. Si-Cl 및 Si-N 결합은 층 특성 및 증착 특성에 대해 서로 다른 효과를 갖는 것처럼 보이며, Si-Cl 대 Si-N결합의 비는 층 특성 및 증착 특성을 균형을 맞추기 위해 사용될 수 있다.
상술한 바람직한 결합 구조를 갖는 혼합물은 다음의 일반적인 구조를 갖는다:
(I) NR2-Si(R′2)-Si(R′2)-NR2, (아미노(디)실란)(amino(di)silanes),
(II) R3-Si-N=N=N, (실릴 아지드)(silyl azides), 또는
(III) R′3-Si-NR-NR2 (실릴 하이드라진)(silyl hydrazines).
상기 일반 구조에서, R 및 R'는 할로겐기, 하나 이상의 이중결합을 갖는 유기기, 하나 이상의 삼중 결합을 갖는 유기기, 지방족 알킬기, 순환형 알킬기, 방향족, 유기규소기, 알킬아미노기, 또는 N 또는 Si를 포함하는 순환기(cyclic group), 및 이들의 조합으로부터 선택된 하나 이상의 작용기를 포함한다.
적절한 작용기(functional group)의 예는 클로로(Cl-), 메틸(-Ch3), 에틸(-CH2CH3), 이소프로필, 트리메틸실릴, 피롤리딘, 및 이들의 조합을 포함한다. 적절한 혼합물의 예를 다음을 포함한다:
1,2-디에틸-테트라키스 (디에틸아미노) 디실란 {1,2-diethyl-tetrakis (diethylamino) disilane}, (CH2CH3(NCH2CH3)2Si)2
1,2-디클로로-테트라키스(디에틸아미노)디실란{1,2-dichloro-tetrakis (diethylamino) disilane}, (Cl(NCH2CH3)2Si)2
헥사키스(N-피롤리디니오)디실란 {hexakis (N-pyrrolidinio) disilane}, ((C4H9N)3)Si)2
1,1,2,2-테트라클로로-비스(디-트리메틸아미노)디실란{1,1,2,2-tetrachloro-bis(di-trimethylamino) disilane, (Cl2(NSi(CH3)3))Si)2
1,1,2,2-테트라클로로-비스(디-이소프로필)디실란 {1,1,2,2-tetrachloro-bis(di-isopropyl) disilane}, (Cl2(N(C3H 7)2))Si)2
1,2-디메틸-테트라키스(디에틸아미노)디실란 {1,2-dimethyl-tetrakis (diethylamino) disilane}, (CH3(NCH2CH3)2Si)2
트리스(디메틸아미노)실란 아지드{tris(dimethylamino)silane azide}, (N(CH3)2)3SiN3
트리메틸아미노 실란 아지드{trimethylamino silane azide}, (CH3)3SiN3
(2,2디메틸하이드라진)디메틸실란{(2,2 dimethylhydrazine)dimethylsilane} (CH3)2SiH-NH-N(CH3)2,
및 이들의 조합.
규소 대 규소 단일 결합(즉, Si-Si 단일 결합)을 갖는 규소 소스 가스(전구체) 또는 규소 및 질소 소스 가스(전구체)는 감소된 온도에서, 가령 약 550℃ 이하에서 분자를 분해하거나 해리할 수 있다고 믿어진다.
규소 및 질소 함유층을 증착하기 위해 사용될 수 있는 질소 소스 가스 또는 전구체는 이에 제한되지는 않으나, 암모니아(NH3), 하이드라진(N2H4), 하이드라진 아지드(NH3), 또는 이들의 조합을 포함한다. 질소 소스 가스는 이상적으로는 저온에서 질소 소스 가스의 분해를 위한 질소-질소 단일 결합(즉, N-N 단일 결합)을 포함한다. 부가적으로, 규소 및 질소 함유 소스 가스가 처리가스 혼합물에서 사용될 때, 소량의 질소 소스 가스는 일반적으로 층 증착 동안 증착된 층의 조성에 대한 플렉시블한 제어를 위해 가스 혼합물에 포함될 수도 있을 것이다.
적절한 규소 소스 가스 또는 규소 및 질소 소스 가스 혼합물이 층에서 탄소 및 수소 함량을 최소화하도록 적응될 수 있다. 이와 관련하여, Si-C 결합, Si-H 결합, 및 N-H 결합은 전구체 결합 조성에서 최소화된다.
설계된 전구체를 사용하는 SiN CVD의 방법이 이제부터 기재될 것이다. CVD 공정은 SiN층 화학량론을 이루기 위하여 NH3의 소량 그러나 최소량의 부가를 여전히 필요로 한다. 이는 Si 및 N에 대한 층으로의 합체 효율이 서로 다르기 때문에(서로 다른 운동 배리어), 전구체로부터의 질소의 전달에도 불구하고 여전히 필요조건이다. 화학양론적 질화규소층은 온도 및 R기(R group)의 선택에 따라 <10%C를 그러나 잠재적으로는 여전히> 10%H를 포함할 것이다. %H를 낮추기 위한 가능한 추가적인 후속 처리는 개별 특허에서 상술되는 것처럼 채용될 수 있다. 아미노디실란 전구체를 사용하는 산화물과 산질화물의 증착을 위하여 N2O와 같은 산화제의 첨가가 요구된다.
본 발명의 실시예에 따라 질화규소층을 단일 웨이퍼 반응기에서 증착하고 처리하는 방법의 일례가 도2의 흐름도 200에 설명된다. 제1 단계는 웨이퍼 또는 기판상에 열화학 기상 증착에 의해 질화규소층을 증착하는 단계이다. 질화규소 증착 처리의 구체적인 예는 도2에 흐름도 200의 블록 201로써 제시되어 있고 흐름도 200의 단계들 202-210을 포함할 수 있다. 질화규소층을 증착하는 것에 있어 제1 단계는 챔버에 웨이퍼 또는 기판을 배치하는 것이다. 이상적으로 질화규소층은 웨이퍼를 가열하기 위한 저항 가열된 기판 지지체를 갖는 감소된 압력의 단일 웨이퍼 냉벽(cold wall) 반응기의 챔버, 가령 어플라이드 머티어리얼즈, XgenTM 챔버에서 형성된다. 적절한 챔버의 일례가 도4에 도시되고 설명된다.
일단 기판이 챔버에 배치되었다면, 질화규소층을 증착하기 위해 사용되는 증착 압력 및 온도가 성취된다. 본 발명의 실시예에서, 질화규소층의 증착이 발생하는 증착 압력은 약 10 torr 및 약 350 torr 사이이다. 증착 온도(즉, 웨이퍼 또는 기판의 온도)는 질화규소층을 증착하기 위해 사용되는 구체적인 처리 가스(가령, 규소 함유 소스 가스 및 질소 함유 소스 가스)에 의존할 것이다. 웨이퍼 또는 기판 온도는 550℃ 이하, 가령 500℃ 미만이며, 일반적으로는 증착 처리 동안 450℃ 및 약 550℃ 사이이다.
다음으로, 블록 206에 제시된 것처럼, 처리 가스가 증착 챔버로 도입된다. 처리 가스 혼합물은 본원에 기재된 것처럼 적어도 규소 함유 소스 가스(즉, 질화규소층의 증착을 위한 규소 함유 중간종 또는 규소 원자를 제공하기 위하여 분해될 수 있는 가스)와 질소 함유 소스 가스(가령, 질화규소층의 증착을 위한 질소 함유종 또는 질소 원자의 소스를 제공하기 위하여 열적으로 분해될 수 있는 가스)를 포 함할 것이다. 대안으로, 처리 가스 혼합물은 단일 분자로부터 질소 및 규소 원자 모두의 소스를 제공하는 규소 및 질소 소스 가스를 포함하거나 또는 질화규소층의 형성을 위한 질소 및 규소 함유 중간종을 포함할 수 있다.
규소 및 질소 소스 가스가 이용될 때, 처리 가스 혼합물은 또한 질소 소스 가스 및/또는 규소 소스 가스를 포함하거나 질소 및 규소의 부가적인 소스 없이 규소 및 질소 소스 가스만을 포함할 수 있다. 본 발명의 실시예에서, 챔버로 규소 소스 가스를 제공하기 전에 질소 소스 가스는 증착 챔버로 제공된다. 대안으로, 질소(N2) 뿐만 아니라 헬륨과 아르곤을 포함하는 희가스와 같은 불활성 캐리어 가스가 반응 챔버로 도입될 수 있다. 규소 소스 가스 및 질소 소스 가스는 1:1 및 약 1:1000 사이, 가령 약 1:1 및 약 1:500 사이의 유량비율로 처리 챔버로 도입될 수 있다.
본 발명의 실시예에서, 규소 소스 가스는 헥사클로로디실란(HCD)이다. 질화규소층은 HCD 및 NH3 또는 N2H4를 챔버로 제공함으로써 형성될 수 있다. HCD가 이용된다면, 그것은 반응 챔버로 도입되기 이전에 N2와 같은 불활성 캐리어 가스와 혼합될 수 있다. 500-5000sccm의 질소 소스 가스가 반응 챔버로 제공되는 동안 HCD는 10-2000sccm의 유량으로 반응 챔버로 제공된다. 일례에서, HCD 소스 가스 및 질소 소스 가스는 1:1 및 1:1000의 유량 및 이상적으로는 1:1 및 1:500 사이의 유량을 각각 갖는다. 이러한 공정은 530℃의 웨이퍼 온도에서 약 80Å/분의 증착율로 그리고 480℃의 웨이퍼 온도에서는 약 50Å/분의 증착율로 질화규소층을 형성할 수 있다.
적절한 질화규소층은 1,2-디클로로-테트라키스 (디에틸아미노) 디실란을 10-100 sccm의 유량으로 그리고 질소 소스 가스를 200-2000 sccm 사이의 유량으로 이용하여 형성될 수 있다. 적절한 질화규소층은 10-100 sccm 사이의 유량의 1,2-디에틸-테트라키스 (디에틸아미노) 디실란 그리고 200-2000 sccm사이의 유량의 질소 소스 가스로부터 증착될 수 있다. 이러한 공정은 530℃의 웨이퍼 온도에서 약 80Å/분의 증착율로 그리고 480℃의 웨이퍼 온도에서는 약 50Å/분의 증착율로 질화규소층을 형성할 수 있다. 다음과 같은 추가적인 예는 바람직하게는 전구체 1,2-디클로로-테트라키스 (디에틸아미노) 디실란을 갖는, Applied Materials SiNgen과 같은 단일 웨이퍼 저압 열 CVD 장치에서의 상세한 처리 매개변수이며, 450℃ 및 약 650℃ 사이, 가령 약 500℃의 기판 온도, 약 10 torr 및 약 300 torr 사이, 가령 약 40 torr 및 약 200 torr 사이의 챔버 압력, 10을 초과하는, 가령 약 50 및 약 100 사이의 NH3 대 규소 전구체 유량 비, 약 0.2 및 약 1.0 gms/분 사이의, 가령 0.5 gms의 규소 전구체 유량, 및 약 500 mils 및 약 1000 mils 사이에서 이격하는 샤워헤드에 대한 히터를 포함하며, 이는 60 및 200 Å/분 사이 가령 약 100 Å/분의 증착속도에 이를 수 있다.
비교하여, 다음은 다시 바람직하게는 전구체 1,2-디클로로-테트라키스 (디에틸아미노) 디실란을 갖는 배치 노(batch furnace)에서의 SiN CVD 공정의 상세이며, 450℃ 및 약 650℃ 사이의, 가령 약 500℃의 기판 온도, 약 0.1 torr 및 약 2 torr 사이의, 가령 약 0.4 torr 및 약 1 torr 사이의 챔버 압력, 10 미만의, 가령 약 1 및 약 5 사이의 NH3 대 규소 전구체 유량 비를 포함하며, 규소 전구체 유량은 5 및 20 Å/분 사이의, 가령 약 12 Å/분의 증착속도에 이를 수 있는 노 튜브 볼륨에 의존한다.
다음으로, 흐름도 200의 블록 208에 제시된 것처럼, 가열된 기판 또는 기판 지지체로부터의 가열은 규소 및 질소 소스 가스 또는 규소 소스 가스 및 질소 소스 가스가 열 분해되도록 한다. 규소 소스 가스의 열분해는 규소 원자 또는 규소 함유 중간종을 제공한다. 질소 소스 가스의 열분해는 질소 원자 또는 질소 함유 중간종을 제공한다. 규소 및 질소 소스 가스의 열분해는 규소 원자 또는 규소 함유 중간종뿐만 아니라 질소 원자 또는 질소 함유 중간종 모두를 제공할 수 있다. 규소 원자 또는 규소 함유 중간종은 질소 원자 또는 질소 함유 중간종과 반응하여 기판의 표면에 대하여 질화규소층을 증착한다. 본 발명에서, 규소 및 질소 함유 소스 가스 또는 규소 소스 가스 또는 질소 소스 가스는 광자 인헨스먼트(enhancement) 또는 플라즈마 인헨스먼트와 같은 추가적 에너지 소스들 없이 (플라즈마 없는 증착 공정으로 불리워짐) 기판으로부터의 열 또는 기판 지지체로부터의 열과 같은 열에너지만을 이용하여 열분해됨이 이해되어야 한다. 본 발명의 실시예에서, 질화규소층은 10-150Å 사이의, 120Å 미만의 두께로 증착되며, 이상적으로는 80Å 미만이 바람직하다. 만약 더 두꺼운 층을 원한다면, 제2, 제3, 또는 다른 다수의 층의 증착/수소 라디컬 처리 사이클이 사용되어 후술될 더 두꺼운 층들을 증착할 수 있다.
본 발명의 실시예에서, 블록 210으로 제시된 것처럼, 충분히 두꺼운 질화규소층의 증착 이후에, 규소 소스 가스 및 질소 소스 가스의 흐름이 정지된다. 본 발명의 실시예에서, 질화규소의 증착이 완결될 때, 기판은 블록 210에서 제시된 것처럼 질소 소스 가스로 선택적으로 처리될 수 있다. 질소 소스 가스만이 약 10초 동안 반응 챔버로 도입된다. 증착 단계의 끝에서 질소 소스 가스로 질화규소층을 처리하는 것은 기판상의 반응되지 않은 규소 부위를 마무리한다. 이러한 동작은 N/Si 비율을 증가시키고 질화규소층에서의 수소(구체적으로는 Si-H 결합 형태)를 감소시키는 것을 돕는다. 그러나, 동작 210은 본 발명에 따라 양호한 질소규소층을 얻는 데 필수적이지는 않다.
질화규소층을 증착하기 위하여 본 발명에서 이용되는 공정 가스 혼합물은 질화규소층이 550℃ 미만의 그리고 이상적으로는 500℃ 미만의 낮은 증착 온도에서 적어도 분당 50Å의 유량으로, 이상적으로는 분당 100Å을 초과하는 유량으로 열화학 기상 증착에 의해 증착될 수 있게 한다.
플라즈마 처리
증착된 질화규소층은 층의 품질을 향상시키기 위하여 미리 결정된 시간 주기 동안 수소 라디칼로 처리된다. 수소 라디컬은 챔버내에서의 인 시츄(in-situ) 방식에 의하거나, 원격 장치에서 암모니아(NH3) 및 수소(H2)와 같은 수소 함유 가스의 플라즈마 증착에 의해 형성될 수 있고 챔버에 전달될 수 있다. 증착된 질화규소층은 5×1015원자/cm2와 1×1017원자/cm2 사이의 유량으로 수소 라디칼로 처리될 수 있다. 수소 라디컬 처리 동안 기판은 약 100 militorr와 약 5 torr 사이의 챔버 압력에서 약 450℃와 약 600℃ 사이의 낮은 온도로 가열된다. 충분한 처리는 일반적으로 약 15초와 약 120초 사이에서 발생할 수 있다.
수소 라디컬 처리를 위해 사용되는 수소 라디컬은 임의의 적절한 방법으로 생성될 수 있다. 본 발명의 실시예에서, 수소 라디컬은 충분한 수의 수소 라디컬을 제공하도록 분해될 수 있는 수소 함유 가스의 플라즈마 분해에 의해 형성된다. 수소 라디컬은 매우 활성화된 중성 원자 수소를 포함하는 원자 수소의 모든 종과 방전된 수소 이온을 포함한다. 적절한 수소 소스 가스는 암모니아(NH3) 및 수소(H2) 가스를 포함한다. 본 발명의 실시예에서, 수소 소스 가스는 암모니아(NH3) 및 수소(H2)의 혼합물을 포함한다. 본 발명의 실시예에서, 수소 처리 가스는 NH3만을 또는 H2만을 포함한다. 또한, 본 발명의 실시예에서, 불활성 가스, 가령 N2, Ar 또는 He은 수소 처리 가스와 함께 제공될 수 있다.
수소 함유 가스는 200-2000 watt 사이의 전력으로 마이크로파 또는 무선주파수 소스를 이용하여 수소 라디컬을 제공하도록 적절한 해리될 수 있다. 수소 처리 가스의 플라즈마 분해는 인-시츄 방식으로 또는 원격 플라즈마를 이용하여 이루어질 수 있다. 인-시츄 공정에서, 플라즈마 및 수소 라디컬은 처리될 질화규소층을 갖는 기판이 위치되는 동일한 챔버에서 생성된다. 적절한 플라즈마 챔버의 예는 용량성 결합된 PECVD 또는 고밀도 플라즈마 HDP 챔버를 포함한다. 원격 플라즈마 공정에서, 수소 라디컬 및 플라즈마는 처리될 질화규소층을 갖는 기판이 위치되는 챔버로부터 분리된 챔버에서 마이크로파에 의해 생성된다. 원격 플라즈마 공정에서, 플라즈마와 수소 라디컬은 제1 챔버(분해 챔버 또는 공동)에서 생성되며 그 후 이들은 분해 챔버로부터 도관을 통해, 처리될 질화규소층을 갖는 기판을 포함하는 제2 챔버로 유입된다. 임의의 적절한 원격 플라즈마 발생기 반응기, 가령 Astex Astron, 어플라이드 머티어리얼즈 원격 플라즈마 질화 RPN 소스, 및 어플라이드 머티어리얼즈 어드밴스드 스트립 패시베이션 플러스(ASP) 챔버가 사용될 수 있으나 이에 제한되는 것은 아니다.
본 발명의 실시예에서, 수소 라디컬은 "핫 와이어"(hot wire) 또는 가령 암모니아(NH3) 및 수소(H2) 가스와 같은 수소 함유 가스의 촉매 분해 또는 이들의 조합에 의해 형성된다. "핫 와이어" 공정에서, 텅스텐 필라멘트와 같은 와이어 또는 촉매는 약 1600-1800℃의 고온으로 가열되고 수소 처리 가스는 필라멘트를 통해 공급된다. 가열된 필라멘트는 수소 처리 가스의 크랙킹(cracking) 또는 분해를 유발하여 수소 라디컬을 형성한다. 수소 라디컬은 그 후 필라멘트 아래에 위치된 기판상에 형성된 질화규소층을 처리한다. 필라멘트가 고온을 가지지만, 기판은 처리 공정 동안 여전히 600℃ 미만, 바람직하게는 550℃ 미만의 저온으로만 가열된다. 본 발명의 또 다른 실시예에서, 유도성 생성된 플라즈마가 수소 라디컬을 생성하기 위하여 이용될 수 있다.
저온 공정에 의하여 수소 라디컬이 질화규소층을 투과할 수 있는 거리는 가령 HCD 막에 대해 약 100Å으로 제한되며, 가령 실란 막에 의해 일반적으로 50Å 미만이므로, 150Å 이하, 바람직하게는 100Å 이하의 질화규소층이 수소 라디컬 처리 이전에 형성된다. 더 두꺼운 질화규소층을 원할 때는, 다중 증착 및 처리 사이클이 이용될 수 있다. 가령, 만약 300Å 질화규소층을 원한다면, 제1 100Å 질화규소층이 증착될 수 있고 그 후 질화규소층이 수소 라디컬로 처리된다. 그 후, 제2 100Å 질화규소층은 상기 처리된 질화규소층에 증착될 것이며, 그 후 제2 질화규소층이 수소 라디컬로 처리된다. 그 후, 제3 100Å 질화규소층이 상기 제2 질화규소층에 증착될 것이며, 이는 수소 라디컬로 처리된다. 이러한 방식으로 고품질 질화규소층이 원하는 임의의 두께로 형성될 수 있다. 가령 HCD의 180Å CVD의 3 사이클에 이은 8초의 수소 라디컬 노출은 처리된 100Å의 3층 및 처리되지 않은 80Å을 지시한다.
가령, 수소 라디컬 처리 이전의 질화규소층은, 상당한 부분의 Si-H 형태를 갖는 15 원자 퍼센트를 초과하는 수소 농도, 유기 규소 전구체가 사용되는 경우 10 원자 퍼센트를 초과하는 탄소 농도, 염소처리된(chlorinated) 규소 전구체가 사용되는 경우 1 원자 퍼센트를 초과하는 염소 농도, 1.85 미만의 굴절율, 및 버퍼링된 산화물 에칭(BOE)과 같은 산화물 에칭을 이용하여 산화규소의 에칭율의 두 배 이상의 습식 에칭율을 가질 수 있다. 이와 같은 질화규소층은 스페이서 및 인터폴리(interpoly) 유전체와 같이, 반도체 장치 제조에서의 질화규소층의 많은 애플리케이션에 대하여 부적절하다고 생각될 수 있다.
처리된 질화규소층은 10원자 퍼센트 미만의 총 수소 농도, Si-H 형태의 감소된 부분, 가령 5 원자 퍼센트 미만의 탄소 농도, 가령 1 원자 퍼센트 미만의 염소 농도, 가령 1.90 초과의 증가된 굴절률, 또는 가령 BOE과 같은 산화물 에칭을 이용하여 산화규소의 에칭률과 거의 동일한(1:1) 에칭율의 감소된 습식 에칭율을 갖는 것으로 관측되었다.
본 발명의 공정은 고품질 질화규소층이 낮은 증착 온도 및 제조가능하게 높은 증착률(가령, 50Å/분 초과)로 열화학 기상 증착에 의해 형성될 수 있게 한다. 낮은 증착 온도는 질화규소층이 트랜지스터 또는 능동 디바이스 형성 이후의 응용 또는 위치에서 반도체 회로 제조 공정에 이용될 수 있게 해주는데, 이는 증착 온도 가 소자의 열 경비에 크게 영향을 주지 않고 소자 내부의 도펀트 분포를 변경하지 않을 정도로 충분히 낮기 때문이다. 질화규소층의 높은 증착률은 공정이 단일 웨이퍼 반응기에서 수행될 수 있게 해준다.
제조
본 발명에 따른 질화규소층의 형성 방법은 낮은 열 경비 및/또는 실리콘 기판에 배치된 도펀트의 재분배 방지를 필요로 하는 반도체 소자의 제조에서 사용하기에 이상적이다. 본 발명에 따른 질화규소층의 사용을 위한 일례는 측벽 스페이서의 제조이다. 이와 같은 응용에서, 도3A에 도시된 기판(300)과 같은 기판이 제공될 것이다. 기판(300)은 상부에 형성된 게이트 유전층(304)을 갖는 단결정 실리콘 기판 또는 층(302)을 포함한다. 측면으로 대향하는 측벽을 갖는 게이트 전극(306)이 게이트 유전층상에 형성된다. 일반적으로 한 쌍의 소스/드레인 첨단 또는 연장 영역(310)이 게이트 전극(306)의 측면으로 대향하는 측벽과 정렬하여 실리콘 기판(302)에 형성될 것이다.
다음으로, 도3B에 도시된 것처럼 저온 질화규소층이 본 발명에 따라 형성되며 도3B의 기판(300) 상부에 피복 증착될 것이다. 질화규소층(312)의 두께는 제조되고 있는 트랜지스터의 물리적 특성에 의존하며, 65nm 기술 소자에 대하여는 일반적으로 적어도 200Å 두께일 것이다. 본 발명에 따라 질화규소층이 높은 증착률로 낮은 증착 온도를 이용하여 상술된 것처럼 증착될 것이다. 100Å 이하의, 이상적으로는 50Å 미만의 두께를 갖는 질화규소층이 우선 형성된다. 그 후, 질화규소층은 전술한 것처럼 수소 라디컬로 어닐링된다. 다음, 필요하다면, 제2 질화규소층이 수소 라디컬처리된 제1 질화규소층상에 형성된다. 그 후, 제2 질화규소층이 전술한 것처럼 수소 라디컬로 처리될 것이다. 다음, 제3 질화규소층이 전술한 것처럼 저온 화학 기상 증착에 의해 증착될 것이다. 증착된 제3 질화규소층은 전술한 것처럼 수소 라디컬로 처리된다. 공정은 이러한 방법으로 원하는 총 두께를 갖는 질화규소층(312)이 형성될 때까지 계속된다. 일부 이용 실시예에서는, 증착된 또는 성장된 산화규소층이 상기 질화규소층 이전에 형성되고 따라서, 상기 질화규소층 아래에 있다. 질화규소층(312)은 저온 공정으로 형성되고 저온에서 수소 라디컬로 처리되기 때문에, 실리콘 질화 동안 소스/드레인 연장(310)을 형성하는 도펀트는 실질적으로 이동되지 않거나 기판(302) 내에서 재분배되지 않는다. 이러한 방법으로, 제조된 소자의 전기적 특성이 일관될 것이다.
다음으로, 도3C에 도시된 것처럼, 충분히 두꺼운 질화규소층(312)의 형성 이후에, 질화규소층(312)은 게이트 전극(306)의 측면으로 대향하는 측벽을 따라 연장하는 측벽 스페이서(314)를 형성하도록 이방성으로 에칭될 수 있다. 이방성 에칭 공정은 소스/드레인 연장(310) 및 게이트 전극(306)의 상부와 같은 수평면으로부터 질화규소층을 제거하는 한편 게이트 전극(306)의 측벽과 같은 수직면에 질화규소를 남긴다. 측벽 스페이서(314)의 형성 이후에, 깊은 소스/드레인 영역(316)의 형성 및/또는 상기 소스/드레인 영역상에 규소화합물(318)의 형성과 같은 부가적인 반도체 소자의 처리가 발생할 수 있다. 측벽 스페이서(314)는 깊은 소스/드레인 영역의 오프셋을 허용하며, 티타늄 규소화합물 또는 코발트 규소화합물과 같은 규소화합물이 기술 분야에서 주지된 자기-정렬된 공정에서 소스/드레인 영역상에 그리고 게이트 전극의 상부에 형성될 수 있게 한다.
장치
본 발명의 질화규소층은 이상적으로는 저압 열화학 기상 증착 반응기에서 형성된다. 적절한 반응기(400)의 일례가 도4에 도시되어 있다. 본 발명의 실시예에서, 수소 라디컬 처리는 질화규소층을 증착하기 위해 사용되는 챔버와 동일한 챔버에서 발생할 수 있다. 층을 증착하기 위해 사용된 챔버와 동일한 챔버에서 수소 라디컬로 "증착된" 질화규소층을 처리하기 위해서는, 원격 플라즈마 소스가 저압 화학 기상 증착 반응기에 결합되어 챔버에 수소 라디컬의 소스를 제공할 수 있다. 저압 화학 기상 증착 반응기(400)에 결합된 원격 플라즈마 발생기 소스(801)의 예는 역시 도4에 도시된다. 원격 플라즈마 발생기(801)를 열화학 기상 증착 반응기(400)에 결합하는 것은 본 발명의 처리량을 크게 향상시키며 질화규소층이 질화규소 증착 이후에 수소 라디컬로 직접 처리될 수 있게 해준다. 또한, 이러한 장치는 연속된 증착/처리 사이클이 200Å을 초과하는 질화규소층과 같은 두꺼운 질화규소층을 형성하기 위해 사용될 때, 웨이퍼 처리량을 드라마틱하게 향상시킨다.
도4는 반응기 용기 어셈블리(반응기)(400)를 도시한다. 도4는 반응기(400)가, 처리 가스, 전구체 가스, 또는 반응 가스가 열분해되어 웨이퍼 기판(비도시)상에 규소포함층을 형성하는 반응 챔버(408)를 형성하는 챔버 몸체(406)를 포함하고 있음을 도시한다. 챔버 몸체(406)는 챔버가 10 내지 약 350 torr 사이의 압력을 유지될 수 있게 해줄 물질로 제조된다. 일 예시적인 실시예에서, 챔버 몸체(406)는 알루미늄합금 물질로 제조된다. 챔버 몸체(406)는 온도 제어된 유제가 챔버 몸체(406)를 냉각하기 위하여 이를 통해 펌핑(pumping)되는 통로(410)를 포함한다. 온도 제어된 유체 통로를 구비하여, 반응기(400)는 "냉벽(cold-wall)" 반응기라고 불리워진다. 챔버 몸체(406)를 냉각함으로써 반응종의 존재 및 고온으로 인한 챔버 몸체(406)를 형성하기 위해 사용되는 물질에 대한 부식을 방지한다.
반응 챔버(408), 챔버 뚜껑(426), 페이스 플레이트(또는 샤워 헤드; 430), 블로커 플레이트(428), 및 저항성 가열 어셈블리(404)가 챔버 몸체(406)에 상주한다. 저항성 가열 어셈블리(404)는 니켈로 이루어진 히터 튜브(414)의 길이방향으로 연장하는 와이어 리드(wire lead; 412)를 포함한다. 히터 튜브(414)의 단부에는 소결된 AlN으로부터 제조된 가열 디스크(416)가 존재한다. 가열 디스크(416) 내부에는 몰리브덴으로 제조된 하나 이상의 가열 코일(418)이 존재한다. 와이어(412) 및 코일(418)은 납땜에 의해 결합되며 그 안쪽에서 전기적으로 전도성이다. 와이어(412)는 AlN 세라믹 슬리브(420)와 열적으로 절연된다. 코일(418)은 대부분의 전기 저항을 제공하며 따라서 대부분의 반응 챔버(408) 가열을 제공한다. 가열 디스크(416)의 단부에는 포켓(422)으로 불리는 리세스(recess)가 존재하며 포켓(422) 내부에는 웨이퍼(비도시)가 배치된다.
도4는 챔버 몸체(406)가 승강기 어셈블리(436)를 추가적으로 하우징함을 도시하고 있다. 승강기 어셈블리(436)는 반응 챔버(408) 내외부로 웨이퍼 기판(비도시)의 이동을 용이하게 한다. 승강기 어셈블리(436)는 스텝퍼 모터일 수 있다. 승강기 어셈블리(436)는 히터 어셈블리(404)를 축(405)을 따라 상하로 이동시켜 웨이퍼 기판의 반응 챔버(408) 내외부로의 이동을 용이하게 한다.
기판 또는 웨이퍼는 가령 로봇 전달 메커니즘(비도시)에 의해 진입 포트(434)를 통해 반응 챔버(408)로 배치된다. 일 실시예에서, 로봇 전달 메커니즘은 전달 블레이드와 결합하며 로봇 전달 메커니즘은 전달 블레이드를 제어한다. 전달 블레이드는 반응 챔버(408) 내부로 그리고 가열 디스크(416)의 포켓(422) 상부로 기판을 로드하기 위하여 개구를 통해 기판을 삽입한다. 기판이 로드되고 있을 때, 가열 디스크(416)의 표면이 진입 포트(434) 아래에 있도록 승강기 어셈블리(436)는 축(405)을 따라 내부 방향으로 히터 어셈블리(404) 및 가열 디스크(416)를 하강시킨다. 가열 디스크(416)가 하강될 때, 기판은 반응 챔버(408)에 배치된다. 일단 기판이 로드되고 나면, 진입 포트(434)는 밀봉되고 승강기 어셈블리(436)는 페이스 플레이트(430)쪽을 향해 보다 나은(가령, 상부) 방향으로 히터 어셈블리(404) 및 가열 디스크(416)를 이동시키거나 나아가게 한다. 일 예시적인 실시예에서, 나아감은 웨이퍼 기판이 페이스 플레이트(430)로부터 짧은 거리(가령 400-900mil)일 때 정지한다.
일 실시예에서, 증착 또는 처리에 대해 준비되었을 때, 가스 패널(401)에 의해 제어되는 공정 가스 또는 전구체 가스가 반응 챔버(408)로 도입된다. 블로커 플레이트(428)는 다수의 구멍(비도시)을 가지므로 이를 통한 가스 흐름을 조절한다. 공정 가스는 우선 포트(424)를 통하고, 블로커 플레이트(428)를 통하고, 그 후 페이스 플레이트(430)를 통해 반응 챔버(408) 내부로 도입된다. 공정 가스는 블로커 플레이트(428)에 있는 다수의 구멍을 통해 그 후 페이스 플레이트(430)를 통해 포트(424)로부터 분배된다. 페이스 플레이트(430)는 반응 챔버(408)로 공정 가스를 균일하게 분배한다.
기판은 히터 어셈블리(404)를 가령 아래쪽으로 (하강) 함으로써 챔버로부터 제거될 수 있다. 히터 어셈블리(404)가 승강기 어셈블리(436)의 행동을 통해 아래 방향으로 이동할 때, 승강핀(442)은, 접촉 승강 플레이트(444)와 접촉하고 정지된 채로 유지하며 가열 디스크(416)의 상부 표면 위로 극단적으로 연장하여 하강될 때 기판을 가열 디스크(416)로부터 분리한다. 전달 블레이드는 그 후 개구(434)를 통해 삽입되고 기판과 가열 디스크(416) 사이에 위치된다. 접촉 승강 플레이트(444)는 그 후 하강되고, 이에 의해 승강핀(442)을 하강시키며 기판이 전달 블레이드 상부로 하강되도록 한다. 기판은 그 후 전달 블레이드에 의해 진입 포트(434)를 통해 제거될 수 있다.
상술된 메커니즘은 이후의 기판에 대해 반복될 수 있다. 한 가지 적절한 승강기 어셈블리(436)에 대한 상세한 기재는 캘리포니아 산타클라라 소재 어플라이드 머티어리얼즈 인코포레이티드에 양도된 미국특허 No.5,772,773에 기재되어 있다.
반응기(400)는 반응 챔버(408) 내부의 처리 온도를 모니터링하기 위해 온도 지시기(비도시)를 또한 포함한다. 일례에서, 온도 지시기는 열전쌍일 수 있는데, 이는 가열 디스크(416)의 표면에서의(또는 가열 디스크(416)에 의해 지지되는 기판의 표면에서의) 온도에 대한 데이터를 편리하게 제공하도록 위치된다. 반응기(400)에서 기판의 온도는 가열 디스크(416)의 온도보다 약간 더 차가운 20-30℃이다.
도4는 반응 챔버(408)가 온도 제어된 라이너 또는 절연 라이너(409)와 일직선상에 있음을 더 도시한다. 전술한 것처럼, 챔버 몸체(406)는 냉벽 챔버 효과를 생성하도록 온도 제어된 유체에 대한 통로(410)를 포함한다. 반응 챔버(408) 내부의 챔버 온도는 600℃ 또는 훨씬 더 높을 수 있다. 반응 챔버(408)에서 층을 형성하기 위해 사용되는 화학제품으로 인해, 고온은 반응 챔버(408)의 챔버 몸체(406)를 쉽게 부식시킬 것이다. 따라서, 챔버 몸체(406)는 챔버 몸체(406)를 냉각시킬 물이나 다른 냉각 유체와 같은 온도 제어된 유체를 위한 통로(410)를 구비한다. 이는 챔버 몸체(406)를 쉽게 부식되게 할 너무 뜨겁게 되는 것으로부터 챔버 몸체(406)를 보호한다. 이러한 냉벽 챔버와 연관될 수 있는 한 가지 문제는 챔버의 냉벽과 아주 가까이에 있는 반응 챔버(408) 내부의 영역은 온도가 급격히 떨어지는 경향이 있다는 것이다. 이 영역에 있어서의 온도의 급격한 하락은 반응 챔버(408)에서 형성된 규소포함층에 대해 원치 않거나 바람직하지 않은 입자의 형성 또는 응결을 조장한다. 예를 들어, 질화규소(Si3N4)층을 형성하기 위한 증착 공정에서 HCD 및 NH3의 반응은 일반적으로 NH4Cl의 형성을 일으킨다. NH4Cl은 Si3N4에 대한 오염이 형성되는 것을 방지하기 위하여 세척을 요하는 바람직하지 않은 염 부산물(salt by-product)이다. 온도가 약 150℃ 미만으로 떨어질 때, NH4Cl과 같은 응결이 발생할 것이다. 이러한 입자는 챔버 벽으로부터 제거될 수 있다. 제거된 입자는 웨이퍼 기판상에 입자 형성에 대한 결정핵 부위를 형성한다. 일 실시예에서, 반응 챔버(408)는 원치 않는 입자의 응결을 방지하기 위하여 온도 제어된 라이너(409)와 일렬로 배치된다.
일 실시예에서, 온도 제어된 라이너(409)는 이 온도 제어된 라이너(409)가 챔버 몸체(406)의 벽을 따라 약간의 물리적 접촉점만을 가지도록 챔버 몸체(406)의 벽과 결합된다. (가령, 도4에 도시된 접촉 점(459)을 참조). 온도 제어된 라이너(409)와 챔버 몸체(406)의 벽 사이의 물리적 접촉을 최소화하는 것은 도전점을 최소화함으로써 챔버 몸체(406)로의 열 손실을 최소화한다.
정화가스(가령, 질소)가 원치 않는 증착을 방지하기 위하여 증착 동안 반응 챔버(408)의 바닥으로 보내질 수 있다.
반응기(400)는 또한 압력 조정기(들)(비도시)에 결합된다. 압력 조정기들은 반응 챔버(408)에 압력을 설정하고 유지한다. 이러한 압력 조정기들은 기술분야에서 공지되어 있다. 예시적인 실시예에서 사용될 수 있는 압력 조정기(들)은 압력을 약 10Torr 내지 약 350Torr 범위의 레벨로 유지할 수 있어야 한다. 대안으로, 반응기(400)는 또한 반응 챔버(408)로부터 가스를 펌핑하는 기술 분야에서 공지되어 있는 가스 펌프 배출 시스템(비도시)과 결합될 수도 있다. 가스 펌프 배출 시스템(이는 가령 스로틀(throttle) 밸브(들)을 포함할 수 있다)은 또한 반응 챔버(408) 내의 압력을 조정하기 위해 사용될 수 있다. 반응기(400)는 또한 반응 챔버(408) 내부의 처리 압력을 모니터하는 센서들(비도시)에 결합된다.
일 실시예에서, 제어기 또는 프로세서/제어기(900)가 챔버 압력을 지시하는 센서들로부터 신호를 수신하기 위해 챔버 몸체(406)에 결합된다. 프로세서/제어기(900)는 또한 질소 소스 가스, 규소 소스 가스, 및 불활성 및/또는 정화 가스의 흐름을 제어하기 위해서 가스 패널(401) 시스템에 결합될 수도 있다. 프로세서(900)는 반응 챔버(408) 내부의 원하는 압력을 조정 또는 유지하기 위하여 압력 조정기(들)과 결합하여 작동할 수 있다. 또한, 프로세서/제어기는 가열 디스크의 온도, 및 따라서 내부에 배치된 기판의 온도를 제어할 수 있다. 프로세서/제어기(900)는 본 발명에 따라 질화규소층을 형성하기 위하여 위에서 제시된 매개변수 내에서 질소 소스 가스 흐름, 규소 소스 가스 흐름, 및 불활성 가스 흐름뿐만 아니라 챔버에서의 압력과 가열 디스크의 온도를 제어하기 위한 컴퓨터 판독가능한 포맷의 명령어를 포함하는 메모리를 포함한다. 가령, 550℃ 이하의 온도로 기판을 가열하는 명령어 및 기판을 550℃ 이하의 온도로 가열하면서 챔버(408)로 규소 소스 가스, 및 질소 소스 가스 및/또는 규소 및 질소 소스 가스를 제공하는 명령어, 뿐만 아니라 반응 챔버(408) 내의 압력을 10-350 torr 사이에서 제어하는 명령어가 프로세서/제어기(900)의 메모리에 저장된다.
반응기(400)의 구성요소용 물질은 노출된 구성요소가 본 발명의 고온 처리와 호환되어야 하도록 선택된다. 규소포함층을 형성하기 위한 본 발명의 전구체 또는 반응종의 열분해는 600℃까지의 반응 챔버(408) 내부의 온도를 포함한다. 반응기(400)에서의 구성요소용 물질은 이러한 고온을 견디는 형태의 것이어야 한다. 일 실시예에서, 챔버 몸체(406)는 단단한 양극산화된(anodized) 알루미늄과 같은 내식성 금속으로 제조된다. 이러한 형태의 알루미늄은 종종 고가이다. 대안으로 챔버 몸체(406)는 온도 제어된 유체가 이를 통해 지나가는 통로(410)를 포함한다. 온도 제어된 유체의 통로는 챔버 몸체(406)가 매우 저가의 알루미늄 합금 또는 다른 적절한 금속으로부터 제조될 수 있게 하는데, 이는 통로(410)가 챔버 몸체(406)를 차갑게 유지할 것이기 때문이다. 전술한 것처럼, 이는 반응기(400)가 종종 냉벽 반응기라고 불리는 이유들 중 한가지이다. 냉벽 또는 냉각된 챔버 몸체(406)에서의 원치 않는 응결을 방지하기 위해서, 전술된 온도 제어된 라이너(409)가 반응 챔버(408)로부터 방사된 열을 흡수하고 온도 제어된 라이너(409)의 온도를 층 형성 애플리케이션에 따라서 적어도 약 150℃ 이상으로 또는 대안으로 적어도 약 200℃ 이상으로 유지할 물질로 제조될 수 있다. 일 실시예에서, 온도 제어된 라이너(409)는 원치 않는 응결을 방지하기에 충분한 온도로 유지될 필요가 있다.
또한, 구성요소 물질은 또한 공정 가스 및 다른 화학제품, 가령 반응 챔버(408)로 도입될 수 있는 세척 화학제품 및 전구체와 호환되어야 한다. 일 실시예에서, 가열 어셈블리(404)의 노출된 표면은 물질이 공정과 호환가능하다면 다양한 물질로 이루어질 수 있다. 가령, 이 논의에서의 예시적인 실시예는 고온에서 적용될 부식성 화학제품을 요한다. 가열 어셈블리의 구성요소는 따라서 이러한 환경에 견디어야만 한다. 일 실시예에서, 가열 어셈블리의 구성요소는 질화 알루미늄(AlN)과 같은 세라믹 물질로 제조된다. 가열 어셈블리(404)의 가열 디스크(416)는 또한 질화 알루미늄 물질로 이루어질 수 있다.
일 예시적인 실시예에서, 반응 챔버(408)는 N2, He, Ar, 또는 이들의 조합과 같은 안정화 가스를 이용하여 안정화된다. 일례에서, 안정화 가스를 반응 챔버(408)로 해방시킬 가스 패널 시스템(401)에 매니폴드가 포함된다. 안정화 가스는 5-6 리터의 용량을 갖는 반응기(400)에 대하여 1,000 sccm 내지 10,000 sccm, 바람직하게는, 약 2,000 sccm 범위의 유량을 가질 수 있다.
본 발명의 실시예에서, 반응기(400)는 수소 라디컬을 생성하여 반응 챔버(408)에 제공하는 원격 플라즈마 생성기(801)와 결합된다. 원격 플라즈마 생성기(801)는 마이크로파 소스로 마이크로파를 생성하는 마그네트론(Magnetron; 802)을 포함한다. 마그네트론(802)은 바람직하게는 2.5Ghz 마이크로파 에너지의 10,000watt까지 생성할 수 있다. 요구되는 전력의 양은 챔버(408)의 크기에 의존(비례)한다는 것을 주의하여야 한다. 300mm 웨이퍼를 처리하기 위해 사용되는 어닐 챔버에 대하여, 10,000watt의 전력은 충분할 것이다. 비록 마이크로파 소스가 장치(800)에서 플라즈마를 생성하기 위해 사용되지만, 무선 주파수(RF)와 같은 다른 에너지 소스가 사용될 수 있다.
마그네트론(802)은 임피던스 매칭을 위해 제공되는 절연기 및 더미 로드(dummy load; 804)에 결합된다. 더미 로드는 반사된 전력을 흡수하여 어떠한 반사 전력도 마그네트론 헤드(head)부로 가지 않는다. 절연기 및 더미 로드(804)는 도파관(806)에 의해 결합되며, 도파관은 오토튜너(808)로 마이크로파 에너지를 전달한다. 오토튜너(808)는 임피던스 매칭 헤드부와, 전력 소스로 지향된 마이크로파 에너지의 반사 전력을 감소시키기 위하여 세 개의 스테퍼 모터(stepper motor) 구동되는 임피던스 매칭 스터브(stub)를 이용하는 개별 검출기 모듈로 이루어진다. 오토튜너(808)는 마이크로파 에너지를 마이크로파 어플리케이터 공동(또는 챔버)(810)의 중앙으로 집중시켜서, 에너지는 도관(812)에 의해 어플리케이터 공동(810)으로 보내지는 수소 처리 가스에 의해 흡수된다. 오토튜너가 바람직하지만, 수동 튜너가 채용될 수 있다.
어플리케이터(810)는 마그네트론(802)으로부터 수신된 마이크로파 에너지를 사용하여, 수소 처리 가스가 어플리케이터(810) 내부에 위치된 석영 플라즈마 튜브를 통해 아래로 흐를 때 수소 처리 가스로부터 플라즈마를 생성한다. 수소 라디컬을 생성하기 위해 사용되는 H2와 NH3와 같은(이에 한정되지는 않음) 수소 처리 가스의 소스(814)(가령 탱크)는 마이크로파 어플리케이터(810)에 연결된다. 또한, 아르곤(Ar), 또는 헬륨(He)과 같은 불활성 가스의 소스가 또한 어플리케이터(810)에 연결될 수 있다. 프리파이어(prefire) 수은 램프는 자외선 광을 플라즈마 튜브로 방사시키기 위해 사용될 수 있어서, 공정 가스를 부분적으로 이온화하며, 이에 의해 마이크로파 에너지가 플라즈마를 보다 점화시키기 쉽도록 한다.
마그네트론(802)으로부터의 마이크로파 에너지는 수소 처리 가스를 필수적으로 세 개의 구성요소로 이루어지는 플라즈마로 변환시킨다: 상기 구성요소들은 이온화된 또는 대전된 수소 원자, 활성화된(반응성의) 전기적으로 중성인 수소 원자, 및 중간 수소 함유종이며, 본 발명의 목적을 위해 이들 모두는 "수소 라디컬"을 구성한다.
어플리케이터(810)는 장치(400)의 뚜껑에 볼트 결합될 수 있다. 농축된 플라즈마 혼합물은 도관(814)을 통해 챔버(408)로 아래로(downstream) 흐른다. 수소 라디컬은 어닐될 기판이 위치되는 챔버로부터 이격되거나 원격지인 위치(챔버 810)에서 생성되기 때문에, 수소 라디컬은 "원격 생성된다"라고 호칭된다.
원격 플라즈마 소스(801)는 프로세서/제어기(900)에 결합될 수 있다. 프로세서/제어기(900)는 상술한 수소 라디컬 처리 공정을 달성하기 위하여 원격 플라즈마 소스(801)의 동작을 제어하는 컴퓨터 판독가능한 포맷으로 메모리에 저장되는 명령어를 포함할 수 있다. 명령어는 가령, 질화규소층을 처리하는 데 필요한 원하는 수소 라디컬 유량(flux), 가령 5×1015원자/cm2 및 1×1017원자/cm2 사이의 유량을 얻기 위해 수소 처리 가스 유량 및 전력을 제어하는 명령어를 포함할 수 있고, 또한 가열 디스크의 온도(및 따라서 웨이퍼의 온도)를 제어하는 명령어뿐만 아니라 수소 라디컬 처리 공정 동안 챔버(408)내의 압력을 제어하는 명령어도 포함할 수 있다.
또한, 비록 본 발명이 단일 웨이퍼 장치를 이용하는 것에 관하여 기술되었지만, 원한다면 본 발명의 증착 및 처리 공정은 본 발명의 범위를 일탈하지 않고 세미-배치(semi-batch) 또는 튜브 형태의 장치로 실행될 수 있다.
본 발명의 대안의 실시예에서, 저온 질화규소 증착 공정은 가령 도5에 도시된 클러스터 툴(500)과 같은 클러스터 툴로 실행될 수 있다. 클러스터 툴(500)은 로봇과 같은 내장된 웨이퍼 처리기(handler; 504)를 갖는 밀봉가능한 전달 챔버(502)를 포함한다. 로드 로크 또는 한 쌍의 로드로크(506)는 웨이퍼가 로봇(504)에 의해 클러스터 툴(500) 내외부로 수송될 수 있도록 밀봉가능한 문을 통해 전달 챔버(502)에 결합된다. 어플라이드 머티어리얼즈 Xgen 싱글 웨이퍼와 같은 질화규소 증착 반응기(508), 냉벽, 저항성 히터를 구비하는 열화학 기상 증착 반응기는 밀봉가능한 문에 의해 전달 챔버(502)에 결합된다. 또한 도5에 도시된 수소 라디컬 처리 챔버(510)가 밀봉가능한 문에 의해 전달 챔버(502)에 결합된다. 수소 라디컬 처리 챔버는 가령 어플라이드 머티어리얼즈 어드밴스드 스트립 패시베이션 플러스(ASP) 챔버와 같은 플라즈마 챔버, 어플라이드 머티어리얼즈 원격 플라즈마 질화 RPN 챔버와 같은 원격 플라즈마 챔버, 또는 "핫 와이어" 챔버일 수 있다. 전형적으로, 전달 챔버(502)는 감소된 압력에서 유지되며 N2와 같은 불활성 대기를 포함한다. 이러한 방법으로, 웨이퍼는 산화 대기 또는 오염에 웨이퍼를 노출시키지 않고 하나의 챔버(가령, 질화 규소 증착 챔버(508))로부터 제2 챔버(가령, 수소 라디컬 처리 챔버)로 전달될 수 있다. 클러스터 툴(500)은 질화 규소 증착 반응기(500)뿐만 아니라 수소 라디컬 처리 챔버(510)의 동작을 제어하여 전술한 것처럼 질화규소층을 증착하고 전술한 것처럼 수소 라디컬로 질화규소층을 처리하기 위하여 전술한 것처럼 프로세서/제어기(900)를 또한 포함할 수 있다.
사용에 있어서, 도3A에 도시된 웨이퍼와 같은 웨이퍼 또는 기판은 로드 로크(506)로부터 로봇(504)에 의해 전달 챔버(502)로 이송된다. 웨이퍼는 질화규소 증착 챔버(508)로 전달되며, 그 사이에 있는 문은 밀봉되며 낮은 증착 온도 공정을 이용하여 웨이퍼 상부에 질화규소층이 형성된다. 일단 질화규소층이 웨이퍼 상부에 형성되었다면, 웨이퍼는 로봇(504)에 의해 질화규소 증착 챔버(508)로부터 제거되며 로봇(504)에 의해 수소 라디컬 처리 챔버(510)로 이송된다. 수소 라디컬 처리 챔버(510)와 전달 챔버(502) 사이의 문은 그 후 밀봉되며, 질화규소층이 전술한 것처럼 수소 라디컬에 노출된다. 만약 더 두꺼운 질화규소층을 원한다면, 웨이퍼는 챔버(510)로부터 제거되어 부가적인 질화규소를 증착하기 위하여 질화규소 증착 챔버(508)로 다시 이송될 수 있다. 웨이퍼는 다시 한번 질화규소 증착 챔버(508)로부터 제거되고, 수소 라디컬 처리 챔버(510)로 되돌려져, 다시 한번 수소 라디컬로 처리된다. 웨이퍼는 증착 챔버(508)와 처리 챔버(510) 사이에서 원하는 두께와 품질의 질화규소층이 얻어질 때까지 연속적으로 전달될 수 있다. 일단 실질적으로 두꺼운 질화규소층이 형성되면, 웨이퍼는 클러스터 툴(500)로부터 제거된다.
따라서, 낮은 증착 온도에서 고품질 질화규소층을 증착하고 처리하는 방법 및 장치가 기술되었다.

Claims (31)

  1. 처리 챔버에서 기판을 처리하는 방법으로서,
    550℃ 또는 그 미만의 온도로 기판을 가열하는 단계;
    상기 기판의 표면상에 질화규소층을 증착하기 위하여 규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해하는 단계 ―상기 질화규소층은 15 원자 퍼센트 초과의 제1 수소 원자 퍼센트를 가짐―; 및
    상기 질화규소층을 수소 라디컬(radical)들에 노출시키는 단계를 포함하고, 상기 규소 함유 소스 가스 또는 상기 규소 및 질소 함유 소스 가스는 Si-Si 결합, N=N 결합, N-N 결합, 또는 이들의 조합들의 그룹으로부터 선택된 결합들을 갖는 화합물을 포함하거나 또는 상기 규소 및 질소 함유 소스 가스는 단일 결합에 의해 제2 규소 원자에 결합된 제1 규소 원자와, 상기 제1 규소 원자 및 상기 제2 규소 원자에 결합된 적어도 염소(Cl) 원자 또는 질소(N) 원자를 포함하고, 노출된 질화규소층은 10 원자 퍼센트 미만의 제2 수소 원자 퍼센트를 가지는,
    기판을 처리하는 방법.
  2. 제1항에 있어서,
    상기 수소 라디컬들은 수소 함유 소스 가스의 플라즈마 분해에 의해 형성되는,
    기판을 처리하는 방법.
  3. 제2항에 있어서,
    상기 수소 함유 소스 가스는 암모니아(NH3), 수소 가스(H2), 또는 암모니아(NH3)와 수소 가스(H2)의 조합을 포함하는,
    기판을 처리하는 방법.
  4. 제1항에 있어서,
    상기 질화규소층은 5×1015원자/cm2 내지 1×1017원자/cm2의 플럭스(flux)로 수소 라디컬들로 처리되는,
    기판을 처리하는 방법.
  5. 제1항에 있어서,
    상기 규소 함유 소스 가스 또는 상기 규소 및 질소 함유 소스 가스는 Si-Si 결합, N=N 결합, N-N 결합, 또는 이들의 조합들의 그룹으로부터 선택된 결합들을 갖는 화합물을 포함하는,
    기판을 처리하는 방법.
  6. 제2항에 있어서,
    상기 수소 함유 소스 가스는 질소 가스(N2), 아르곤(Ar) 및 헬륨(He)으로 구성되는 그룹으로부터 선택된 불활성 가스를 더 포함하는,
    기판을 처리하는 방법.
  7. 제2항에 있어서,
    상기 소스 가스의 플라즈마 분해는 상기 질화규소층이 상기 수소 라디컬들로 처리되는 챔버로부터 물리적으로 원거리에서 발생하는,
    기판을 처리하는 방법.
  8. 제1항에 있어서,
    상기 규소 함유 소스 가스 또는 상기 규소 및 질소 함유 소스 가스는 단일 결합에 의해 제2 규소 원자에 결합된 제1 규소 원자와, 상기 제1 규소 원자 및 상기 제2 규소 원자에 결합된 적어도 염소(Cl) 원자 또는 질소(N) 원자를 포함하는,
    기판을 처리하는 방법.
  9. 제1항에 있어서,
    상기 질화규소층은 상기 질화규소층을 수소 라디컬들에 노출시킨 이후에 5 원자 퍼센트 미만의 탄소 농도를 갖는,
    기판을 처리하는 방법.
  10. 제1항에 있어서,
    상기 열분해 온도는 500℃ 미만인,
    기판을 처리하는 방법.
  11. 제1항에 있어서,
    상기 질화규소층은 분당 100Å을 초과하는 증착 속도로 형성되는,
    기판을 처리하는 방법.
  12. 제8항에 있어서,
    상기 질화규소층은 상기 질화규소층을 수소 라디컬들에 노출시킨 이후에 1.0 원자 퍼센트 미만의 염소 농도를 갖는,
    기판을 처리하는 방법.
  13. 제12항에 있어서,
    유기 그룹이 질소 원자에 결합되는,
    기판을 처리하는 방법.
  14. 제5항에 있어서,
    상기 규소 함유 소스 가스 또는 상기 규소 및 질소 함유 소스 가스는 R2N-Si(R′2)-Si(R′2)-NR2, R3-Si-N3, R′3-Si-NR-NR2의 구조들을 갖는 그룹으로부터 선택된 화합물을 포함하며, 여기서, y는 1 이상이고, R 및 R'는 할로겐기, 하나 이상의 이중 결합을 갖는 유기기, 하나 이상의 삼중 결합을 갖는 유기기, 지방족 알킬기, 순환형 알킬기, 방향족(aromatic group), 유기규소기, 알킬아미노기, 또는 N 또는 Si를 포함하는 순환기(cyclic group), 및 이들의 조합들로부터 선택된 하나 이상의 작용기를 포함하는,
    기판을 처리하는 방법.
  15. 제14항에 있어서,
    R 및 R'는 클로로, 메틸, 에틸, 이소프로필, 트리메틸실릴, 피롤리딘, 및 이들의 조합들의 그룹으로부터 선택된 하나 이상의 작용기를 포함하는,
    기판을 처리하는 방법.
  16. 제15항에 있어서,
    상기 규소 함유 소스 가스 또는 상기 규소 및 질소 함유 소스 가스는 1,2-디에틸-테트라키스(디에틸아미노)디실란{1,2-diethyl-tetrakis(diethylamino)disilane}, 1,2-디클로로-테트라키스(디에틸아미노)디실란{1,2-dichloro-tetrakis(diethylamino)disilane}, 헥사키스(N-피롤리디니오)디실란{hexakis(N-pyrrolidinio)disilane}, 1,1,2,2-테트라클로로-비스(디-트리메틸아미노)디실란{1,1,2,2-tetrachloro-bis(di-trimethylamino)disilane, 1,1,2,2-테트라클로로-비스(디-이소프로필)디실란{1,1,2,2-tetrachloro-bis(di-isopropyl)disilane}, 1,2-디메틸-테트라키스(디에틸아미노)디실란{1,2-dimethyl-tetrakis(diethylamino)disilane}, 트리스(디메틸아미노)실란 아지드{tris(dimethylamino)silane azide}, 트리메틸아미노 실란 아지드{trimethylamino silane azide}, (2,2디메틸하이드라진)디메틸실란{(2,2 dimethylhydrazine)dimethylsilane} 및 이들의 조합으로 이루어진 그룹으로부터 선택되는,
    기판을 처리하는 방법.
  17. 제1항에 있어서,
    규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해함으로써 상기 처리된 질화규소층 위에 제2 질화규소층을 증착하는 단계; 및
    상기 제2 질화규소층을 수소 라디컬들에 노출시키는 단계
    를 더 포함하는,
    기판을 처리하는 방법.
  18. 제17항에 있어서,
    규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 열분해함으로써 상기 처리된 제2 질화규소층 상에 제3 질화규소층을 증착하는 단계; 및
    상기 제3 질화규소층을 수소 라디컬들에 노출시키는 단계
    를 더 포함하는,
    기판을 처리하는 방법.
  19. 제1항에 있어서,
    상기 증착된 질화규소층이 450℃ 내지 600℃의 온도에서 수소 라디컬들로 처리되는,
    기판을 처리하는 방법.
  20. 제1항에 있어서,
    상기 질화규소층을 처리한 이후에, 상기 질화규소층은 10 원자 퍼센트 미만의 제2 수소 원자 퍼센트, 상기 질화규소층을 수소 라디컬들에 노출시킨 이후에 1.90 이상의 굴절률, 버퍼링된 산화물 에칭을 이용하는 산화규소의 에칭율과 동일한 에칭율, 또는 이들의 조합을 갖는,
    기판을 처리하는 방법.
  21. 처리 챔버에서 질화규소층을 형성하는 방법으로서,
    규소 및 질소 함유 소스 가스 또는 규소 함유 소스 가스 및 질소 함유 소스 가스를 550℃ 미만의 온도로 열분해함으로써 분당 100Å을 초과하는 증착 속도로 150Å 미만의 두께로 질화규소층을 증착하는 단계 ―상기 질화규소층은 15 원자 퍼센트 초과의 제1 수소 원자 퍼센트를 가짐―; 및
    상기 증착된 질화규소층을 암모니아(NH3)를 포함하는 수소 함유 소스 가스의 플라즈마 분해에 의해 형성된 수소 라디컬들에 노출시키는 단계 ―노출된 질화규소층은 10 원자 퍼센트 미만의 제2 수소 원자 퍼센트를 가짐―
    를 포함하는,
    질화규소층을 형성하는 방법.
  22. 제21항에 있어서,
    상기 규소 함유 소스 가스 또는 상기 규소 및 질소 함유 소스 가스는 유기디-(organodi-) 또는 모노-실란(mono-silane) 또는 유기아미노-디(organoamino-di) 또는 모노-실란(mono-silane)으로 이루어진 그룹으로부터 선택되는,
    질화규소층을 형성하는 방법.
  23. 삭제
  24. 제21항에 있어서,
    상기 증착된 질화규소층은 5×1015 원자/cm2 내지 1×1017 원자/cm2의 플럭스(flux)를 갖는 수소 라디컬들로 처리되는,
    질화규소층을 형성하는 방법.
  25. 제21항에 있어서,
    상기 증착된 질화규소층은 상기 증착된 질화규소층을 수소 라디컬들에 노출시킨 이후 10% 미만의 수소 농도 및 감소된 Si-H 결합들을 갖는,
    질화규소층을 형성하는 방법.
  26. 제21항에 있어서,
    상기 플라즈마 분해는 상기 질화규소층이 수소 라디컬들로 처리되는 챔버로부터 원거리에서 발생하는,
    질화규소층을 형성하는 방법.
  27. 제21항에 있어서,
    상기 질화규소층은 상기 질화규소층이 증착되는 챔버와 동일한 챔버 내에서 처리되는,
    질화규소층을 형성하는 방법.
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
KR1020067014411A 2003-12-19 2004-12-15 고품질 저온 질화규소층 형성 방법 및 장치 KR101295604B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/741,417 2003-12-19
US10/741,417 US7972663B2 (en) 2002-12-20 2003-12-19 Method and apparatus for forming a high quality low temperature silicon nitride layer
PCT/US2004/041999 WO2005066386A2 (en) 2003-12-19 2004-12-15 A method and apparatus for forming a high quality low temperature silicon nitride layer

Publications (2)

Publication Number Publication Date
KR20070026367A KR20070026367A (ko) 2007-03-08
KR101295604B1 true KR101295604B1 (ko) 2013-08-12

Family

ID=34749199

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067014411A KR101295604B1 (ko) 2003-12-19 2004-12-15 고품질 저온 질화규소층 형성 방법 및 장치

Country Status (6)

Country Link
US (2) US7972663B2 (ko)
EP (1) EP1713952A2 (ko)
JP (1) JP4658963B2 (ko)
KR (1) KR101295604B1 (ko)
CN (1) CN1898409B (ko)
WO (1) WO2005066386A2 (ko)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7678422B2 (en) 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR101681897B1 (ko) 2009-08-07 2016-12-05 어플라이드 머티어리얼스, 인코포레이티드 이중 온도 히터
JP2011074413A (ja) * 2009-09-29 2011-04-14 Tokyo Electron Ltd 成膜装置および成膜方法、ならびに基板処理装置
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20130100339A (ko) * 2010-11-24 2013-09-10 가부시키가이샤 알박 막 형성 장치 및 막 형성 장치의 세정 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
CN102420109B (zh) * 2011-06-15 2014-12-10 上海华力微电子有限公司 一种提高mim器件电容均匀性的方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103858214B (zh) 2011-11-03 2017-02-22 应用材料公司 快速热处理腔室
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10361097B2 (en) * 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
CN103928647B (zh) * 2013-01-16 2016-02-17 海洋王照明科技股份有限公司 氮化硅复合隔膜及其制备方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US20150303060A1 (en) 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
CN105609411A (zh) * 2016-02-26 2016-05-25 上海华力微电子有限公司 改善hcd氮化硅片均匀性的方法
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9922823B1 (en) * 2016-09-07 2018-03-20 Euclid Techlabs, Llc CVD reactor and method for nanometric delta doping of diamond
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN110178201B (zh) * 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
CN107342403B (zh) * 2017-06-09 2019-11-12 江永斌 含有氮化硅的纳米材料及其制备方法和用途
JP7076971B2 (ja) * 2017-09-28 2022-05-30 キヤノン株式会社 撮像装置およびその製造方法ならびに機器
WO2019147462A1 (en) * 2018-01-26 2019-08-01 Applied Materials, Inc. Treatment methods for silicon nitride thin films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
JP7458296B2 (ja) 2020-10-28 2024-03-29 大陽日酸株式会社 ハロゲンアミノジシラン化合物、シリコン含有薄膜形成用組成物およびシリコン含有薄膜

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5264724A (en) 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US6255197B1 (en) 1998-06-10 2001-07-03 Jim Mitzel Hydrogen annealing method and apparatus
KR101020116B1 (ko) * 2002-12-20 2011-03-08 어플라이드 머티어리얼스, 인코포레이티드 고품질 저온 실리콘질화물막 형성 방법 및 장치

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4908678A (en) * 1986-10-08 1990-03-13 Semiconductor Energy Laboratory Co., Ltd. FET with a super lattice channel
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
DE69106884T2 (de) * 1990-09-11 1995-07-06 The Procter & Gamble Co., Cincinnati, Ohio Verfahren zum erhalten hochgradig veresterter polyolfettsäurepolyester mit verringertem gehalt an di-höheralkylketonen und beta-ketoestern.
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
JP3149223B2 (ja) 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH0613329A (ja) 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
EP0653501B1 (en) * 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
WO1995018460A1 (en) * 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JPH07283154A (ja) 1994-02-21 1995-10-27 Nissin Electric Co Ltd プラズマcvd法及び装置
JP3348509B2 (ja) 1994-03-30 2002-11-20 ソニー株式会社 絶縁膜の成膜方法
JP3571404B2 (ja) * 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
JP2757850B2 (ja) * 1996-04-18 1998-05-25 日本電気株式会社 薄膜トランジスタおよびその製造方法
JP3220645B2 (ja) * 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JPH10261658A (ja) 1997-03-17 1998-09-29 Toyota Motor Corp 半導体装置の製造方法
JP3320685B2 (ja) * 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
WO2001004946A1 (en) * 1999-07-08 2001-01-18 Hitachi, Ltd. Semiconductor device and method for producing the same
JP3406250B2 (ja) * 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6573652B1 (en) * 1999-10-25 2003-06-03 Battelle Memorial Institute Encapsulated display devices
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR100338125B1 (ko) * 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
JP2001258139A (ja) 2000-03-09 2001-09-21 Mitsubishi Electric Corp 電気所の引留鉄構
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) * 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
EP1207522A1 (en) * 2000-11-16 2002-05-22 Fuji Photo Film Co., Ltd. Magnetic recording medium
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP2002231628A (ja) * 2001-02-01 2002-08-16 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6583046B1 (en) * 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
US20030186324A1 (en) * 2001-09-07 2003-10-02 Irm Llc, A Delaware Limited Liability Company Sensory neuron receptors
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP3820212B2 (ja) 2002-12-11 2006-09-13 アプライド マテリアルズ インコーポレイテッド Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
AU2003303136A1 (en) 2002-12-20 2004-07-14 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
JP3793179B2 (ja) 2003-06-12 2006-07-05 株式会社東芝 窒化膜の膜質改善方法、及び半導体装置の製造方法
JP4474596B2 (ja) * 2003-08-29 2010-06-09 キヤノンアネルバ株式会社 シリコンナノ結晶構造体の形成方法及び形成装置
JP2005150637A (ja) * 2003-11-19 2005-06-09 Canon Inc 処理方法及び装置
JP4653949B2 (ja) * 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5264724A (en) 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US6255197B1 (en) 1998-06-10 2001-07-03 Jim Mitzel Hydrogen annealing method and apparatus
KR101020116B1 (ko) * 2002-12-20 2011-03-08 어플라이드 머티어리얼스, 인코포레이티드 고품질 저온 실리콘질화물막 형성 방법 및 장치

Also Published As

Publication number Publication date
JP2007520056A (ja) 2007-07-19
US20100029094A1 (en) 2010-02-04
WO2005066386A3 (en) 2005-09-09
US20040194706A1 (en) 2004-10-07
WO2005066386A2 (en) 2005-07-21
JP4658963B2 (ja) 2011-03-23
CN1898409B (zh) 2010-12-22
CN1898409A (zh) 2007-01-17
US7972663B2 (en) 2011-07-05
EP1713952A2 (en) 2006-10-25
KR20070026367A (ko) 2007-03-08

Similar Documents

Publication Publication Date Title
KR101295604B1 (ko) 고품질 저온 질화규소층 형성 방법 및 장치
KR101020116B1 (ko) 고품질 저온 실리콘질화물막 형성 방법 및 장치
US10199215B2 (en) Apparatus and method for selective deposition
CN101572232B (zh) 形成高质量的低温氮化硅层的方法
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
KR100943113B1 (ko) 실리콘 질화물 화학 기상 증착용 방법
KR101022949B1 (ko) 고품질 저온 실리콘질화물층 형성 방법 및 장치
US20080076266A1 (en) Method for forming insulation film having high density
JP2011508433A (ja) 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
JP2000311893A (ja) 原子ガスから材料層を形成する方法と装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 6