CN1898409A - 形成高质量低温氮化硅层的方法和设备 - Google Patents

形成高质量低温氮化硅层的方法和设备 Download PDF

Info

Publication number
CN1898409A
CN1898409A CNA2004800380170A CN200480038017A CN1898409A CN 1898409 A CN1898409 A CN 1898409A CN A2004800380170 A CNA2004800380170 A CN A2004800380170A CN 200480038017 A CN200480038017 A CN 200480038017A CN 1898409 A CN1898409 A CN 1898409A
Authority
CN
China
Prior art keywords
silicon nitride
nitride layer
source gas
siliceous
described method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800380170A
Other languages
English (en)
Other versions
CN1898409B (zh
Inventor
S·王
E·A·C·桑切斯
A·(史蒂文)·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1898409A publication Critical patent/CN1898409A/zh
Application granted granted Critical
Publication of CN1898409B publication Critical patent/CN1898409B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Abstract

描述了形成氮化硅层的方法。根据本发明,在低沉积温度下(例如,低于550℃),通过热解含硅和氮的源气体、或含硅的源气体及含氮的源气体,使氮化硅层沉积,从而形成氮化硅层。随后,用氢自由基处理热沉积的氮化硅层,形成经过处理的氮化硅层。

Description

形成高质量低温氮化硅层的方法和设备
发明背景
技术领域
[0001]本发明涉及薄层形成(thin layer formation)领域,更具体地涉及形成氮化硅层的方法和设备。
背景技术
[0002]现代集成电路是由确确实实数百万、数百万的晶体管组成的,所述晶体管集成在一起形成功能电路。为了进一步增强集成电路的计算能力或存储容量,必须进一步减少晶体管的特征尺寸如栅长和栅氧化膜厚度。不幸的是,由于晶体管栅长不断地被缩小,晶体管的电学特性和性能可以大大变化,这归因于元件中的掺杂物的热量重分配。同样地,由于元件被进一步缩小,用于制造集成电路的热量预算,即来自沉积和加工温度的单独加工或累积的热量输入也必须减少,以确保元件的电学性质一致和可靠。此外,为了进一步缩小半导体设备,用来制造元件的薄层必须能够以高度的组成一致性和高度的厚度一致性形成。
[0003]用于形成晶体管的一种物质是氮化硅(silicon nitride)。在半导体制造工艺中,传统上,氮化硅薄层通过热化学气相沉积(CVD)得以沉积。例如,氮化硅层被用作间隔层、蚀刻终止层(etch stops)、以及电容器和层间介质。然而,目前在单晶片反应器(single wafer reactor)中用热化学气相沉积方法形成高质量氮化硅层的技术需要高于750℃的高沉积温度和/或在降低的温度下沉积速率下降,并且可以导致用于晶体管制造的氮化硅的非可观沉积。
[0004]此外,用目前的方法和前驱物(前体(precursors))使氮化硅层在降低的温度下沉积或以高沉积速率沉积时,层的质量通常不够理想。例如,目前的氮化硅前驱物,包含硅烷、二氯硅烷、乙硅烷、双叔丁基氨基硅烷(bis-tertbutylaminosilane(BTBAS))、和六氯乙硅烷,产生的层的质量不够理想,如密度低和氢含量高。乙硅烷和六氯乙硅烷具有弱的Si-Si键,这使得产生可接受的沉积速率,但是应用氮源如氨时,或是导致膜的质量差(两者均是密度低和氢含量高,并且对于乙硅烷来讲,是台阶覆盖和微负载差),或是导致几乎无法处理的颗粒产生(对于六氯乙硅烷而言)。
[0005]因此,需要一种在降低的沉积温度下,以可制造的沉积速率,通过热化学气相沉积(CVD)形成高质量氮化硅层的方法。
发明概述
[0006]本发明一般性地涉及形成晶体管的介质层,如氮化硅层的方法。根据本发明,通过在降低的沉积温度下,热解含硅和氮的源气体(silicon and nitrogen containing source gas)、或含硅的源气体(siliconcontaining source gas)及含氮的源气体(nitrogen containing source gas),使氮化硅层沉积,从而形成氮化硅层。前驱物包括具有Si-N键、Si-Cl键或这两种键的化合物。随后,使热沉积的氮化硅层暴露于氢自由基(hydrogen radicals),形成经处理的氮化硅层。用具有一个或多个Si-Si、N-N或N=N键的前驱物,在降低的温度下,沉积氮化硅层。
[0007]在本发明的一方面,提供了加工衬底(substrate)的方法,包含:将衬底加热至550℃或更低的温度,热解含硅和氮的源气体、或含硅的源气体及含氮的源气体,将氮化硅层沉积在衬底的表面,和将氮化硅层暴露于氢自由基。
[0008]在本发明的另一方面,提供了形成氮化硅层的方法,包含:在低于550℃的温度和高于每分钟
Figure A20048003801700081
的沉积速率下,通过热分解含硅和氮的源气体、或含硅的源气体及含氮的源气体,将氮化硅层沉积为小于
Figure A20048003801700082
的厚度,和将沉积的氮化硅层暴露于通过含氢气体的等离子体分解而形成的氢自由基。
[0009]在本发明的另一方面,提供了形成氮化硅层的方法,包含:通过热分解含硅和氮的源气体、或含硅的源气体及含氮的源气体,沉积氮化硅层,其中,所述含硅源气体或含硅和氮的源气体含有氯和碳,和用含氢气体的等离子体分解形成的氢自由基处理沉积的氮化硅层,形成经处理的氮化硅层。
[0010]在本发明的另一方面,提供了形成氮化硅层的方法,包含:通过热分解含硅和氮的源气体、或热分解含硅的源气体及含氮的源气体,沉积氮化硅层,其中沉积氮化硅层之后,氮化硅层中的氢原子百分比浓度大于15,碳原子百分比浓度大于10;和用氢自由基处理沉积的氮化硅层,直至氮化硅层中的氢原子百分比浓度小于10,碳原子百分比浓度小于5。
[0011]在本发明的另一方面,提供了形成氮化硅层的设备,包含:位于室中的衬底支架,用于支撑衬底;加热器,用于加热置于衬底支架上的衬底;气体进口,用于向室中提供工艺气体混合物,工艺气体混合物包括硅源气体和氮源气体和/或硅和氮源气体;装置,用于从含氢气体生成氢自由基;和处理器/控制器,用于控制设备的运转,其中处理器/控制器包含带有多种指令的存储器,所述指令用于加热放置在衬底支架上的衬底至低于550℃的温度、和用于在加热衬底的同时提供含硅源气体和含氮源气体、或含硅和氮的源气体进入室中,以在衬底上形成氮化硅层;并带有指令,用于控制生成氢自由基的装置,用以用氢自由基处理氮化硅层。
附图简述
[0012]图1是流程图,示例了形成氮化硅层的方法的一种实施方案。
[0013]图2是流程图,示例了形成氮化硅层的方法的一种实施方案。
[0014]图3A-3C是形成半导体器件方法的一种实施方案的断面示意图,所述半导体器件具有由氮化硅层形成的侧壁隔离层(sidewallspacer)。
[0015]图4是一种设备的一种实施方案的断面示意图,所述设备可以被用来形成氮化硅层。
[0016]图5是可以用来形成氮化硅的多腔集成设备(cluster tool)的一种实施方案的顶视平面图。
发明详述
[0017]本发明涉及形成高质量的氮化硅层,所述氮化硅层可以在降低的沉积温度下形成。在下面的描述中,列举了许多具体细节,如沉积和退火设备,目的是为本发明提供充分的理解。然而,本领域的普通技术人员将认识到,本发明可以在没有这些具体细节的情况下实施。在其它情形下,对公知的半导体加工技术没有进行具体的详细描述,以避免不必要地使本发明变得模糊。
[0018]本发明提供了方法和设备,用以在低于550℃的低沉积温度下,通过热化学气相沉积(CVD)形成高质量的氮化硅层。沉积氮化硅层的方法的一个例子一般性地示例在图1的流程图中。根据本发明的第一步,如图1的框102所列出,包括含硅和氮的源气体、或含硅源气体及含氮源气体的工艺气体混合物,在室中,在低于或等于550℃的沉积温度(衬底温度)下,如低于约500℃下被热解,产生硅种类物质(silicon species)和氮种类物质,氮化硅层从硅种类物质和氮种类物质中沉积出来。选择一种源气体或多种气体,使氮化硅层能够通过热化学气相沉积而形成,沉积速率是至少每分钟 理想地,是至少每分钟
Figure A20048003801700102
温度是低于或等于550℃的低沉积温度(即,衬底或晶片温度)。
前驱物(Precursors)
[0019]可以用来在低温下,以足够高的沉积速率,通过热化学气相沉积产生氮化硅层的源气体包含具有一个或多个Si-N键或Si-Cl键的化合物,如双叔丁基氨基硅烷(BTBAS)或六氯乙硅烷(HCD或Si2Cl6)。所述化合物可以进一步包含Si-Si键、N-N键、N=N键、Si-N和Si-Cl键的混合物、或它们的组合。
[0020]已观察到Si-Cl官能基(键)和Si-N官能基(键)的组合可以改善台阶覆盖和微负载,特别是对于更低的温度、合适的沉积速率而言。Si-Cl基团的数目可以相对于Si-N基团的数目而变化。Si-Cl和S-N键似乎对层的性质和沉积性质有不同的影响,Si-N和S-Cl键的比值可以用来平衡层的性质和沉积性质。
[0021]具有上述优选键结构的化合物具有共通结构:
(I)NR2-Si(R′2)-Si(R′2)-NR2,(氨基(乙)硅烷),
(II)R3-Si-N=N=N,(甲硅烷基叠氮),或
(III)R′3-Si-NR-NR2(甲硅烷基肼)
[0022]在上述共通结构中,R和R′包括一个或多个官能基,它们选自卤素、具有一个或多个双键的有机基团、具有一个或多个三键的有机基团、脂肪族烷基基团、环烷基基团、芳基基团、有机硅基团、烷基氨基基团(alkyamino group)、或含有N或Si的环状基团、及它们的组合。
[0023]合适的官能基的例子包括氯(Cl-)、甲基(-CH3)、乙基(-CH2CH3)、异丙基、三甲基甲硅烷基、吡咯烷、及它们的组合。合适的化合物的例子包含:
1,2-二乙基-四(二乙基氨基)乙硅烷            (CH2CH3(NCH2CH3)2Si)2
1,2-二氯-四(二乙基氨基)乙硅烷              (Cl(NCH2CH3)2Si)2
四(N-吡咯烷基)乙硅烷                        ((C4H9N)3)Si)2
1,1,2,2-四氯-二(二-三甲基氨基)乙硅烷     (Cl2(NSi(CH3)3))Si)2
1,1,2,2-四氯-二(二-异丙基)乙硅烷         (Cl2N(C3H7)2))Si)2
1,2-二甲基-四(二乙基氨基)乙硅烷            (CH3(NCH2CH3)2Si)2
三(二甲基氨基)硅烷叠氮                      (N(CH3)2)3SIN3
三甲基氨基硅烷叠氮                          (CH3)3SIN3
(2,2二甲基肼)二甲基硅烷                    (CH3)2SIH-NH-N(CH3)2,和它们的组合。
[0024]据认为具有硅硅单键(即,Si-Si单键)的硅源气体(前驱物)或硅和氮源气体(前驱物)能够使分子在降低的温度下分解或解离,如在约550℃或更低。
[0025]可以用来沉积含硅和氮的层的氮源气体或前驱物包括但不限于:氨(NH3)、肼(N2H4)、叠氮化氢HN3、或它们的组合。氮源气体理想地包含氮氮单键(即,N-N单键),用于在低温下分解氮源气体。此外,当含硅和氮的源气体被用在工艺气体混合物中时,一些量的氮源气体也将典型地包含在气体混合物中,用于在层沉积期间对沉积层的组成进行灵活的控制。
[0026]可以使合适的硅源气体或硅和氮源气体化合物适于最小化层中的碳和氢含量。就此而言,Si-C键、Si-H键和N-N键,在前驱物键组成中被最小化。
[0027]以下将描述用设计的前驱物进行的SiN化学气相沉积(CVD)方法。CVD方法仍可以需要加入一些量但是是最小量的NH3,使得SiN层可以化学计量。尽管氮从前驱物释放,但这仍然是一个要求,因为Si和N掺入层的效率是不同的(不同的动力学屏障)。根据温度和对R基团的选择,化学计量的氮化硅层将含有<10%的C,尽管仍潜在地含有>10%的H。可以应用可能的进一步的后处理来降低H百分数(H%),如另外的专利所详述。对于用氨基硅烷前驱物沉积氧化物和氧氮化物,需要加入氧化剂如N2O。
[0028]根据本发明的一种实施方案,在单晶片反应器(single waferreactor)中沉积和处理氮化硅层的方法的一个例子显示在图2的流程图200中。第一步是通过热化学气相沉积将氮化硅层沉积在晶片(wafer)或衬底上。氮化硅沉积工艺的一个具体例子在图2中以流程图200的框201予以说明,并且可以包括流程图200的步骤202-210。沉积氮化硅层的第一步是将晶片或衬底放入室中。理想地,氮化硅层在减压单晶片冷壁反应器中形成,所述反应器具有用于加热晶片的电阻式加热衬底支架,所述反应室如应用材料有限公司的XgenTM室。合适的室的一个例子在图4中显示和示例。
[0029]一旦衬底被放入室中,则实现用于沉积氮化硅层的沉积压力和温度。在本发明的一种实施方案中,发生氮化硅层沉积的沉积压力是在约10托和约350托之间。沉积温度(即,晶片或衬底的温度)将取决于用来沉积氮化硅层的具体的工艺气体(例如,含硅源气体和含氮源气体)。在沉积过程期间,晶片或衬底温度低于或等于550℃,如低于500℃,并且通常在约450℃和约550℃之间。
[0030]随后,如框206所说明,工艺气体被导入沉积室。工艺气体混合物将包含此处描述的至少一种含硅的源气体(即,可以被分解而提供硅原子或含硅中间体物质,用于沉积氮化硅层)和含氮的源气体(即,可以被热解提供氮原子源或含氮中间体物质源的一种气体,用于沉积氮化硅层)。替代地,工艺气体混合物将包含硅和氮源气体,其从单个分子提供氮和硅原子的源,或带有氮和硅的中间体物质,用于形成氮化硅层。
[0031]应用硅氮源气体时,工艺气体混合物也可以包含氮源气体和/或硅源气体,或可以仅包含硅和氮源气体而不加入其它氮源和硅源。在本发明的一种实施方案中,在提供硅源气体进入室之前,氮源气体被提供进入沉积室。替代地,惰性载体气体,如稀有气体(noble gas),包含氦和氩,以及氮(N2),可以被导入反应室。硅源气体和氮源气体可以被导入加工室,导入气体的流速比是在1∶1和约1∶1000之间,例如,在约1∶1和约1∶500之间。
[0032]在本发明的一种实施方案中,硅源气体是六氯乙硅烷(HCD)。氮化硅层可以通过提供HCD和NH3或N2H4进入室而形成。如果应用HCD,在被导入反应室之前,其可以与惰性载体气体如N2混合。HCD被提供进入反应室,速度是在10-200sccm之间,而氮源气体以500-5000sccm之间的速度被提供进入反应室。在一个例子中,HCD源气体和氮源气体的流速分别是1∶1和1∶1000,理想地在1∶1和1∶500之间。这种过程可以形成氮化硅层,沉积速率是约
Figure A20048003801700131
晶片温度是530℃;以及沉积速率是约 晶片温度是480℃。
[0033]可以用流速为10-100sccm的1,2-二氯-四(二乙基氨基)乙硅烷和流速在200-2000sccm之间的氮源气体形成合适的氮化硅层。可以从流速在10-100sccm之间的1,2-二乙基-四(二乙基氨基)乙硅烷和流速在200-2000sccm之间的氮源气体沉积出合适的氮化硅层。这样的过程可以形成氮化硅层,沉积速率是约
Figure A20048003801700133
晶片温度是530℃;以及沉积速率是约
Figure A20048003801700134
晶片温度是480℃。如下的进一步例子是单晶片低压热CVD设备,例如应用材料SiNgen中的详细工艺参数,优选地应用前驱物1,2-二氯-四(二乙基氨基)乙硅烷,并包含处于450℃和约650℃之间,如约500℃的衬底温度;处于约10托和约300托之间,如约40托和约200托之间的室压;NH3与硅前驱物的流量比是大于10的,如在约50和约100之间;约0.2和约1.0gms/分钟之间的硅前驱物流速,如0.5gms;以及,约500密耳(mils)和约1000密耳之间的加热器与喷头间距,这可以使沉积速率在60和 之间,例如,为约
[0034]与之对比,下面是批量炉(batch furnaces)中的SiN CVD工艺的细节,同样,优选地用前驱物1,2-二氯-四(二乙基氨基)乙硅烷,并包含450℃和约650℃之间,如约500℃的衬底温度;约0.1托和约2托之间,如约0.4托和约1托之间的室压;小于10的NH3与硅前驱物的流量比,如在约1和约5之间;硅前驱物流速取决于炉通道体积,这可以导致沉积速率是在5和
Figure A20048003801700141
之间,例如,约
[0035]随后,如流程图200的框208所示,来自加热的衬底或衬底支架的热量引起硅氮源气体、或硅源气体及氮源气体热解。硅源气体的热解提供硅原子或含硅中间体物质。氮源气体的热解提供氮原子或含氮中间体物质。硅氮源气体的热解可以提供硅原子或含硅中间体物质以及氮原子或含氮中间体物质。硅原子或含硅中间体物质与氮原子或含氮中间体物质反应,在衬底的表面上沉积氮化硅层。应该理解,在本发明中,含硅和氮的源气体、或硅源气体和氮源气体仅利用热能被热解,如来自衬底的热量或来自衬底支架的热量,而没有其它能量来源的协助,诸如光子增强或者等离子体增强,这被称为无等离子体沉积过程。在本发明的一种实施方案中,使氮化硅层沉积为 之间的厚度,优选厚度小于
Figure A20048003801700144
理想地小于 如果需要更厚的层,如此后讨论的,可以用第二、第三或另外的多重沉积/氢自由基处理循环,来沉积更厚的层。
[0036]在本发明的一种实施方案中,如框210中所示,在沉积足够厚的氮化硅层之后,停止硅源气体和氮源气体流。在本发明的一种实施方案中,当氮化硅的沉积完成时,可以任选地用氮源气体处理衬底,如框210中所示。仅将氮源气体导入反应室,持续大约10秒。在沉积步骤的结束,用氮源气体处理氮化硅层,使衬底上未反应的硅部位终止(terminate)。此操作帮助增加N/Si比值和降低氮化硅层中的氢(特别是在Si-H键形式中)。然而,操作210对于获得根据本发明的好的氮化硅层不是必需的。
[0037]本发明中应用的沉积氮化硅层的工艺气体混合物能够使氮化硅层通过热化学气相沉积得以沉积,速率是每分钟至少
Figure A20048003801700146
理想地率是每分钟大于 沉积温度是小于550℃的低沉积温度,理想地小于500℃。
等离子体处理
[0038]用氢自由基处理沉积的氮化硅层预定时间段,目的是改进层的质量。氢自由基可以通过含氢气体的等离子体分解而形成,含氢气体如氨气(NH3)和氢气(H2),或是在室内原位分解或是在远距离设备中分解并过输送到室中。可以用氢自由基处理沉积态(as deposited)的氮化硅层,氢自由基的流量是在5×1015原子/平方厘米和1×1017原子/平方厘米之间。在氢自由基处理期间,将衬底加热至约450℃和约600℃之间的低温,室压是约100毫托和约5托之间。充分的处理典型地发生在约15和约200秒之间。
[0039]可以用任何合适的方式产生用于氢自由基处理的氢自由基。在本发明的一种实施方案中,氢自由基是通过含氢气体的等离子体分解形成的,所述气体可以被分解,提供足够数目的氢自由基。氢自由基包含所有种类的原子氢,包含高度活化的中性原子氢和带电的氢离子。合适的氢源气体包含氨(NH3)和氢气(H2)。在本发明的一种实施方案中,氢源气体包含NH3和H2的混合物。在本发明的一种实施方案中,氢处理气体仅包含NH3或仅包含H2。此外,在本发明的一种实施方案中,惰性气体,如N2、Ar或He,可以和氢处理气体一起予以提供。
[0040]可以用微波或射频源,在处于200-2000瓦特之间的功率下,适当地离解含氢气体,提供氢自由基。氢处理气体的等离子体分解可以原位完成或用远距离等离子体完成。在原位工艺中,等离子体和氢自由基在相同的室中产生,所述室中放置具有欲被处理的氮化硅层的衬底。合适的等离子体室的一个例子包含电容偶联的PECVD或高密度等离子体HDP室。在远距离等离子体处理中,氢自由基和等离子体是用微波在室中生成的,所述室和放置具有欲被处理的氮化硅层的衬底的室是分开的。在远距离等离子体工艺中,等离子体和氢自由基在第一室中生成(离解室或离解腔)并且它们随后通过管道从离解室流出,进入含有具有欲被处理的氮化硅层的衬底的第二室中。可以应用任何合适的远距离等离子体发生反应器,如同,但不限于Astex Astron、应用材料有限公司的RPN源(the Applied Materials Remote PlasmaNitridation RPNsource)和应用材料有限公司的先进ASP室(the AppliedMaterials Advanced Strip Passivation Plus(ASP)Chamber)。
[0041]在本发明的一种实施方案中,氢自由基是通过含氢气体的“热线(hot wire)”分解或催化分解形成的,含氢气体如氨(NH3)和氢气(H2)或它们的组合。在“热线”工艺中,导线或催化剂,如钨丝,被加热至约1600-1800℃的高温,氢处理气体通过丝线。加热的丝线引起氢处理气体的裂解或分解,形成氢自由基。随后,氢自由基处理位于丝线下方的衬底上所形成的氮化硅层。在处理工艺期间,尽管丝线的温度高,衬底仍然仅被加热至低于600℃的低温,优选地低于550℃。在本发明的又一种实施方案中,可以用感应式生成的等离子体(inductive generated plasma)来生成氢自由基。
[0042]因为氢自由基可以渗透氮化硅层的距离被限制为约
Figure A20048003801700161
例如,HCD膜,典型地少于 例如,硅烷膜,经低温工艺,因此在氢自由基处理之前,形成不大于
Figure A20048003801700163
优选地不大于
Figure A20048003801700164
的氮化硅层。需要更厚的氮化硅层时,可以用多次沉积和处理循环。例如,如果需要
Figure A20048003801700165
的氮化硅层,首先可以沉积
Figure A20048003801700166
的氮化硅层,随后用氢自由基处理该氮化硅层。随后,在经处理的氮化硅层上沉积第二个 氮化硅层,随后用氢自由基处理该第二个氮化硅层。随后,在该第二个氮化硅层上沉积第三个 氮化硅层,并用氢自由基处理该第三个氮化硅层。这样,可以形成所需厚度的高质量的氮化硅层。例如,HCD3次
Figure A20048003801700169
CVD循环,随后暴露于氢自由基8秒,表明:由处理的
Figure A200480038017001610
层和未处理的
Figure A200480038017001612
层组成的3个层。
[0043]例如,预氢自由基处理氮化硅层可以形成大于15原子百分数的氢浓度,主要部分是Si-H形式;如果用有机硅前驱物,有大于10原子百分数的碳浓度;如果用氯化的硅前驱物,有大于1原子百分数的氯浓度,折射率小于1.85,湿式蚀刻速率是应用氧化物蚀刻如缓冲氧化物蚀刻(BOE)的二氧化硅蚀刻速率的2倍以上。认为这样的氮化硅层不适于半导体设备制造中的氮化硅层的许多用途,如隔离体(spacers)和多晶硅晶间介质(interpoly dielectrics)。
[0044]已经观察到,处理的氮化硅层的总氢浓度低于10原子百分数,Si-H形式的部分降低,碳浓度例如是低于5原子百分数,氯浓度例如是低于1原子百分数,折射率,例如,大于1.90,或湿式降低,例如,和用氧化物蚀刻如BOE时的二氧化硅的大致相同(1∶1)。
[0045]本发明的工艺或方法能够,在低沉积温度和可以制备的高沉积速率(例如,大于
Figure A20048003801700171
)下,通过热化学气相沉积形成高质量的氮化硅层。低沉积温度使欲在半导体电路制造工艺中使用的氮化硅层在晶体管或有源器件形成之后应用或定位,原因是沉积温度足够低,不显著影响器件的热量预算或改变其中的掺杂物分布。氮化硅层的高沉积速率使该工艺或方法在单晶片反应器中得以实施。
制造
[0046]形成根据本发明的氮化硅层的方法,对于需要低热量预算的半导体器件的制造和/或防止置于氮化硅衬底中的掺杂物重分布的用途而言,是理想的。对于根据本发明的氮化硅层的用途来说,一个例子是用在侧壁隔离层的制造中。在这样的用途中,将提供衬底,如图3A中显示的衬底300。衬底300包含单晶硅衬底或层302,在其上形成栅极电介质层304。具有侧部相对的侧壁的栅电极306(gate electrode 306)是在栅极电介质层上形成的。典型地,一对源/漏端(source/drain tip)或延伸区域310将形成进入硅衬底302,与栅电极306的侧部相对的侧壁对准。
[0047]下面,如图3B所示,根据本发明形成低温氮化硅层,将沿着图300的衬底毡毯式或覆盖式沉积。氮化硅层312的厚度取决于被制造晶体管的物理特性,对于65nm技术器件来讲,通常是至少 厚。根据本发明,氮化硅层将如上用低沉积温度并以高沉积速率沉积。首先形成厚度是
Figure A20048003801700173
或更少,理想地低于
Figure A20048003801700174
的氮化硅层。随后使氮化硅层被上述的氢自由基退火。随后,如果需要,在氢自由基处理的第一氮化硅层上形成第二氮化硅层。第二氮化硅层将如上述用氢自由基处理。随后,如上所述,将通过低温化学气相沉积,沉积第三氮化硅层。如上所述,用氢自由基处理第三沉积的氮化硅层。以此方式持续此过程,直至形成具有所需总厚度的氮化硅层312。在一些应用实施方案中,沉积或生长的氧化硅层在该氮化硅层之前被形成,并从而位于该氮化硅层下方。因为氮化硅层312在低温工艺中形成,并在低温下被氢自由基处理,在氮化硅期间,形成源/漏延伸物或体310的掺杂物基本上没有移动或在衬底302中重分布。这样,所制造的器件的电学特性将是一致的。
[0048]下面,如图3C所示,在足够厚的氮化硅层312形成之后,可以将氮化硅312各向异性地蚀刻,形成沿着栅电极306的侧部相对的侧壁排列的侧壁间隔物314。各向异性蚀刻工艺或方法从水平表面上去除氮化硅层,例如源/漏延伸物310和栅电极306顶部,而保留垂直表面上的氮化硅,如栅电极306的侧壁。形成侧壁间隔物314之后,可以对半导体器件进行其它加工,如形成深的源/漏区域316和/或在源/漏区域上形成硅化物318。侧壁间隔物314使深的源/漏区域得以补偿,并允许硅化物如硅化钛或硅化钴,在源/漏区域和栅电极顶部以本领域公知的自对准工艺形成。
设备
[0049]本发明的氮化硅层理想地在低压热化学气相沉积反应器中形成。合适的反应器400的例子显示在图4中。在本发明的一种实施方案中,氢自由基处理可以在用于沉积氮化硅层的同一室中发生。为了在沉积该层的相同室中用氢自由基处理“沉积态的”氮化硅层,可以使远距离等离子体源和低压化学气相沉积反应器相连接,向该室提供氢自由基源。和低压化学气相沉积反应器400连接的远距离等离子体发生器源801的一个实例,也显示在图4中。将远距离等离子体发生器801连接于热化学气相沉积反应器400大大改进本发明的生产量并在氮化硅沉积后,使氮化硅层直接被氢自由基处理。此外,用连续的沉积/处理循环形成厚的氮化硅层,如大于 的氮化硅层时,这种设备显著改进晶片生产量。
[0050]图4说明了反应器通道组件(反应器)400。图4说明,反应器400包括室体406,其限定了反应室408,在反应室中,工艺气体、前驱气体或前体气体、或反应气体被热解,在晶片衬底(未显示)上形成含硅层。室体406是由能够使室维持10至约350托压力的材料构成。在一种示范性实施方案中,室体406是由铝合金材料构成的。室体406包含通道410,用于使温控流体通过所述通道泵出或抽出,以便冷却室体406。配备有温控流体通道的反应器400被称为“冷壁(cold-wall)”反应器。冷却室体406防止由于活性物质和高温的存在而对用于形成室体406的材料的侵蚀。
[0051]室体406中含有反应室408、室盖426、面板(或喷头(showerhead))430、预锻模膛隔板428(blocker plate 428)、和电阻加热组件404。电阻加热组件404包含沿着由镍制成的加热管414的长度前进的引线412(wire lead 412)。在加热管414末端是由烧结的AIN制成的加热盘416。在加热盘416内是由钼制成的一个和多个加热线圈418。导线412和线圈418通过钎焊连接在一起并在其中可以导电。导线412用AIN陶瓷套筒420进行热绝缘。线圈418提供大部分电阻,从而提供大部分的反应室408的加热。在加热盘416的末端是称为袋422的凹部,在袋422中放置晶片(未显示)。
[0052]图4示例,室体408进一步容纳升降组件436。升降组件436协助晶片衬底(未显示)移入和移出反应室408。升降组件436可以是步进马达。升降组件436使加热组件404沿着轴405上下移动,协助晶片衬底移入和移出反应室408。
[0053]衬底或晶片通过入口434,由例如机器人自动传送机构(未显示)放入反应室408中。在一种实施方案中,机器人自动传送机构和传送叶片相连,并且机器人自动传送机构控制传送叶片。传送叶片通过开口插入衬底,将衬底加载到反应室408中,并加载到加热盘416的袋422上。随着衬底被加载,升降组件436使加热组件404和加热盘416在沿着轴405以向下的方向下降,以至于加热盘416的表面低于入口434。由于加热盘416被降低,衬底被放置在反应室408中。一旦衬底被加载,入口434被密封,升降组件436向高处(例如,向上)方向、朝向面板430移动或升高超过加热组件404和加热盘416。在一种示范性实施方案中,当晶片衬底和面板430之间为短距离(例如400-900密耳)时,升高停止。
[0054]在一种示范性实施方案中,当准备好沉积或加工时,气体控制板401控制的工艺气体或前驱气体被导入反应室408中。预锻模膛隔板428有多个洞(未显示)使气流从其通过。工艺气体首先通过端孔424、通过预锻模膛隔板428,然后通过面板430被导入反应室408。工艺气体从孔424穿过预锻模膛隔板428中的多个洞,随后通过面板430而分配或配送。面板430将工艺气体均一地分配到反应室408中。
[0055]衬底可以通过,例如将加热组件404向下方(降低)而从室中移出。当加热组件404通过升降组件436的作用以向下方向移动时,起模针442和接触升降板444接触,并保持固定,并最终在加热盘416顶部表面上方延伸;当加热盘被降低时,将衬底从加热盘416上分离下来。输送叶片随后通过开口434插入,定位在衬底和加热盘416之间。接触升降板444随后被降低,从而降低起模针442并引起衬底被降落在输送叶片上。衬底随后可以由输送叶片通过入口434被移出。
[0056]上述机制可以重复用于随后的衬底。一种合适的升降机构组件436的详细描述在美国专利4,772,773中阐述,该专利被转让给加利福尼亚州Santa Clara的应用材料有限公司。
[0057]反应器400也包含温度指示器(未显示),用来监测反应室408中的加工温度。在一个例子中,温度指示器可以是热电偶,使其定位,以便它方便地提供有关加热盘416表面(或被加热盘416支撑的衬底的表面处)的温度的数据。在反应器400中,衬底的温度稍微较冷,比加热盘416的温度低20-30℃。
[0058]图4进一步说明,反应室408衬有温控衬垫或保温衬垫409。如上所述,室体406包含温控流体的通道410,用以产生冷壁室效应。反应室408内部的反应温度可以高达600℃或甚至更高。鉴于用于在反应室408中形成该层的化学反应,高温将容易地侵蚀反应室408的室体406。因此,室体406配备有温控流体如水或其它可以冷却室体406的冷却剂液体的通道410。这将防止室体406变得过热,过热会使室体406容易地被侵蚀。和这样的冷壁室相关的一个问题是:反应室408之内的、非常接近室的冷壁的区域趋向于经历温度上的大幅下降。这些区域在温度上的大幅下降促使颗粒形成或凝结,这对于反应室408中形成的含硅层是不期望的或不利的。例如,HCD和NH3在沉积过程中形成氮化硅(Si3N4)层的反应,典型地引起NH4Cl的形成。NH4Cl是不需要的盐副产物,需要将其清除,以防止对形成的Si3N4造成污染。当温度降低至低于约150℃时,凝结如NH4Cl的凝结会发生。这些颗粒可能从室壁被驱离。驱离的颗粒形成成核点,从而在晶片衬底上形成颗粒。在一种实施方案中,反应室408衬有温控衬垫409,防止不希望的颗粒凝结。
[0059]在一种实施方案中,温控衬垫409和室体406的壁接合,以至于温控衬垫409沿着室体406的壁仅有几个物理接触点。(参见,例如,图4中显示出的接触点459)。最小化温控衬垫409和室体406的壁之间的物理接触,则会通过最小化传导位点使室体406的热量损失最小化。
[0060]吹扫气体(例如氮气)可以在沉积期间被导入反应室408的底部,用来防止不需要的沉积。
[0061]反应器400也和压力调节器或多个调节器(未显示)相偶联。压力调节器建立和维持反应室408中的压力。这种压力调节器在本领域是已知的。可以在示范性实施方案中应用的压力调节器(多个调节器)必需能够将压力维持在约10托至约350托范围的水平。替代地,反应器400也可以和气体抽出系统(未显示)相偶联,在本领域已知所述系统将气体抽出反应室408。也可以用气体泵-出系统(其可以包含,例如,减压阀(多个阀))也可以用来控制反应室408中的压力。反应器400也可以和传感器(未显示)相偶联,所述传感器监测反应室408中的加工压力。
[0062]在一种实施方案中,控制器或处理器/控制器900和室体406相连,从传感器获得信号,所述信号提示了室压。处理器/控制器900也可以和气体控制板401系统相连,用来控制氮源气体、硅源气体、以及惰性和/或吹扫气体的流量。处理器900可以和压力调节器或多个调节器共同工作,用来调节或维持反应室408中的压力。此外,处理/控制器可以控制加热盘的温度,从而控制其上放置的衬底的温度。处理器/控制器900包含存储器,其含有计算机可读格式的指令,用于控制氮源气体流量、硅源气体流量和惰性气体流量,以及室中的压力和加热盘的温度,控制在上面说明的参数范围之内,目的是形成根据本发明的氮化硅层。例如,处理器/控制器900的存储器中存储的是加热衬底至温度低于或等于550℃的指令,和在加热衬底至低于或等于550℃温度时、提供硅源气体、和氮源气体和/或硅氮源气体进入加热室408的指令,以及将室408内的压力控制在10-350托之间的指令。
[0063]选择用于反应器400中的部件的材料,以使暴露的部件必需能够和本发明的高温工艺过程相容。热解本发明前驱物或反应物质形成含硅层,涉及使反应室408内部的温度高达600℃。用于反应器400中的部件的材料应该是抵抗这种高温的部件类型。在一种实施方案中,室体406是由耐腐蚀金属如坚硬的阳极化铝制成的。这种类型的铝通常是昂贵的。替代地,室体406包含温控流体通过的通道410。温控流体的通过使室体406能够由非常便宜的铝合金或其它合适的金属制成,因为通道410将使室体406保持冷却。如所提及,这是反应器400通常被称为冷壁反应器的原因之一。为了防止在冷壁上或冷却的室体406上形成不需要的凝结,根据层形成用途,上述温控衬垫409可以由吸收从反应室408放出的热量并将温度衬垫409的温度保持为至少约150℃或高于150℃或可选地至少约高于200℃的材料制成。在一种实施方案中,需要将温控衬垫409维持在足以防止不需要的冷凝的温度下。
[0064]此外,部件材料也应该和工艺气体及其它化学品相容,例如可以导入反应室408的清洁化学品和前驱物。在一种实施方案中,加热组件404的暴露表面可以由多种材料组成,只要该材料和该方法相容即可。例如,本讨论中的示范性实施方案需要在高温下施用侵蚀性化学品。因此,加热组件的部件必需耐受这种环境。在一种实施方案中,加热组件的部件是由陶瓷材料如氮化铝(aluminum nitride(AIN))制成的。加热组件404的加热盘416也可以由氮化铝材料组成。
[0065]在一种示范性实施方案中,反应室408是用惰性气体如N2、He、Ar或其组合作为稳定气体予以稳定的。在一个例子中,歧管包含在气体控制盘系统401中,它将释放稳定气体进入反应室408。对于容量是5-6升的反应器400来说,稳定气体的流速可以是从1,000sccm至10,000sccm的范围,优选地是约2,000sccm。
[0066]在本发明的一种实施方案中,反应器400和远距离等离子体发生器801相联用,所述等离子体发生器801产生并为沉积室408提供氢自由基。远距离等离子体发生器801包含磁控管802,其用微波源产生微波。磁控管802可以优选地产生高达10,000瓦特的2.5Ghz的微波能量。应该指出,需要的功率的量依赖于(成正比于)室408的大小。对于用于处理300mm晶片的退火室,10,000瓦特的功率应该足够。虽然用微波源在装置800中产生等离子体,但是也可以用其它能量源,如射频(RF)。
[0067]磁控管802和隔离器虚负载804(isolator and dummy load 804)耦连,隔离器虚负载804提供用来匹配阻抗。虚负载吸收反射能,因此没有反射能到达磁控管头。隔离器和虚负载804通过波导杆(waveguide)806相连,波导806输送微波能量到达自动调谐器808。自动调谐器808由阻抗匹配头和单独的探测模块组成,探测模块用三个步进马达驱动阻抗匹配支脚降低涉及能源的微波能的反射功率。自动调谐器808将微波能量聚焦在微波加热腔(或室)810中央,这样,能量被经导管812而进入加热腔810的氢处理气体吸收。尽管自动调谐器是优选的,但是也可以应用人工调谐器。
[0068]介质加热电极(applicator)810用从磁控管802接受而来的微波能量,以便从氢处理气体产生等离子体,这发生在氢处理气体向下流过位于介质加热电极810之中的石英等离子体管道时。氢处理气体,如同但不限于用于产生氢自由基的H2和NH3的源814,如罐,和微波介质加热电极810相连。此外,惰性气体如氩(Ar)或氦(He)的源也可以和介质加热电极810相连。可以用预先点火的汞灯发射紫外光进入等离子体管道,用来部分地电离工艺气体并从而使微波能量更容易引发等离子体。
[0069]来自磁控管802的微波能量将氢处理气体转变为等离子体,等离子体基本上由三种成分组成:离子化的或带电的氢原子,活化的(有反应性的)电中性的氢原子,和含氢中间体的物质,所有成分用于本发明的目的组成“氢自由基”。
[0070]介质加热电极810可以被拧在设备400的盖上。浓缩的等离子体混合物向下流过导管814到达室408。由于氢自由基在和室408分开或远离室408的位置(室810)中生成,将氢自由基称为“远距离地生成”,欲被退火的衬底位于室408中。
[0071]远距离等离子体源801可以和处理器/控制器900耦连。处理器/控制器900可以包含以计算机可读格式存储在存储器中的指令,所述指令控制远距离等离子体源801的操作,实现上述的氢自由基处理工艺。指令可以包含例如,控制氢处理气体流速和供给功率以获得处理氮化硅层所需的氢自由基流量的指令,所述流量如5×1015原子/平方厘米和1×1017原子/平方厘米之间的流量,也可以包含控制加热盘温度(和从而控制晶片温度)的指令以及在氢自由基处理工艺期间控制室408中压力的指令。
[0072]此外,尽管针对应用单晶片装置描述了本发明,如果需要,本发明的沉积和处理工艺也可以在半批量或管型设备中进行,这不背离本发明的范围。
[0073]在本发明的可选实施方案中,低温氮化硅沉积工艺可以在多管腔集成设备中进行,如图5中显示的多管腔集成设备500。多管腔集成设备500包含一个可密封的传送室502,其中含有晶片操作器504,如自动机械手或机器人。加载锁(load locks)或一对加载锁506通过可密封的门耦连到传送室502上,使晶片通过自动机械手504被带入和带出多管腔集成设备500。通过可密封的门,与传送室502耦连的是氮化硅沉积反应器508,如具有电阻加热器的Applied Materials Xgen单晶片、冷壁、热化学气相沉积反应器。通过可密封的门,与传送室502相连的还有图5中显示的氢自由基处理室510。氢自由基处理室可以是,例如,等离子体室,如Applied Materials Advanced Strip PassivationPlus(ASP)Chamber、远距离等离子体室如Applied Materials RemotePlasma Nitridation RPN室、或“热线”室。典型地,传送室502维持在低压下并含有惰性环境,如N2。这样,晶片可以从一个室(例如,氮化硅沉积室508)传输到第二个室(例如,氢自由基处理室),反之亦然,而晶片不暴露于氧化环境或污染物。多管腔集成设备500也可以包含如上述的处理器/控制器900,用来控制氮化硅沉积反应器的操作,以及氢自由基处理室510,用来如上述沉积氮化硅层和如上述用氢自由基处理氮化硅层。
[0074]在使用中,晶片或衬底,如图3A中显示的晶片,由自动机械手504从加载锁506带入传送室502。将晶片转移到氮化硅沉积室508,将其间的门密封,用低沉积温度过程在晶片上沉积氮化硅层。一旦晶片上形成氮化硅层,将晶片经自动机械手504从氮化硅沉积室508移出,并经自动机械手504将其带入氢自由基处理室510。氢自由基处理室510和传送室502之间的门随后被密封,如上所述使氮化硅层暴露于氢自由基。如果需要更厚的氮化硅层,可以从室510移出晶片并将其带回氮化硅沉积室508,目的是沉积附加的氮化硅。晶片会再次从氮化硅沉积室508被移出并再次被带回氢自由基处理室510并再次用氢自由基处理。晶片可以持续地在沉积室508和处理室510之间转移,直至获得所需厚度和质量的氮化硅层。一旦形成了足够厚的氮化硅层,将晶片从多腔集成设备500移去。
[0075]因此,描述了在低沉积温度下沉积和处理高质量氮化硅层的方法和设备。

Claims (31)

1.加工衬底的方法,包括:
加热衬底至550℃或更低的温度;
热解含硅和氮的源气体、或含硅源气体和含氮源气体,在衬底表面上沉积氮化硅层;和
使氮化硅层暴露于氢自由基。
2.权利要求1所述的方法,其中所述氢自由基是通过含氢源气体的等离子体分解形成的。
3.权利要求2所述的方法,其中所述含氢源气体包括氨(NH3)、氢气(H2)、或氨(NH3)和氢气(H2)的组合。
4.权利要求1所述的方法,其中所述氮化硅层是用流量5×1015原子/平方厘米和1×1017原子/平方厘米之间的氢自由基处理的。
5.权利要求1所述的方法,其中所述含硅源气体或含硅和氮的源气体包含具有选自Si-Si键、N=N键、N-N键或其组合的键的化合物。
6.权利要求2所述的方法,其中所述含氢源气体进一步包括惰性气体,选自:氮气(N2)、氩(Ar)和氦(He)。
7.权利要求2所述的方法,其中所述源气体的等离子体分解在物理上远离用氢自由基处理氮化硅层的室。
8.权利要求1所述的方法,其中,在氮化硅层暴露于氢自由基之后,所述氮化硅层具有的氯浓度原子百分数小于1.0。
9.权利要求1所述的方法,其中,在氮化硅层暴露于氢自由基之后,所述氮化硅层具有的氯浓度原子百分数小于5。
10.权利要求1所述的方法,其中所述热解温度小于500℃。
11.权利要求1所述的方法,其中所述氮化硅层是以每分钟大于100的沉积速率形成的。
12.权利要求1所述的方法,其中所述含硅源气体或含硅和氮的源气体包含通过单键和第二个硅原子键接的第一个硅原子,和与所述第一个硅原子和第二个硅原子键接的至少一个氯(Cl)原子或氮(N)原子。
13.权利要求12所述的方法,其中有机基团和所述氮原子键接。
14.权利要求5所述的方法,其中所述含硅源气体或含硅和氮的源气体包含化合物,选自具有R2N-Si(R′2)-Si(R′2)-NR2、R3-Si-N3、R′3-Si-NR-NR2结构的基团,其中y是一个或多个,并且R和R′包括一个或多个官能基,选自卤素、具有一个或多个双键的有机基团、具有一个或多个三键的有机基团、脂肪族烷基、环烷基、芳基、有机硅基团、烷基氨基、或含有N或Si的环状基团、和它们的组合。
15.权利要求14所述的方法,其中所述R和R′包括一个或多个官能基,选自氯、甲基、乙基、异丙基、三甲代甲硅烷基、吡咯烷、和它们的组合。
16.权利要求15所述的方法,其中所述含硅源气体或含硅和氮的源气体选自:1,2-二乙基-四(二乙基氨基)乙硅烷、1,2-二氯-四(二乙基氨基)乙硅烷、和四(N-吡咯烷基)乙硅烷、1,1,2,2-四氯-二(二-三甲基氨基)乙硅烷、1,1,2,2-四氯-二(二-异丙基)乙硅烷、1,2-二甲基-四(二乙基氨基)乙硅烷、三(二甲基氨基)硅烷叠氮、三甲基氨基硅烷叠氮、(2,2二甲基肼)二甲基硅烷、和它们的组合。
17.权利要求1所述的方法,进一步包括:
通过热解含硅和氮的源气体、或含硅源气体和含氮源气体,在经处理的氮化硅层上沉积第二个氮化硅层;和
使第二个氮化硅层暴露于氢自由基。
18.权利要求17所述的方法,进一步包括:
通过热解含硅和氮的源气体、或含硅源气体和含氮源气体,在所述第二个经过处理的氮化硅层上沉积第三个氮化硅层;和
使第三个氮化硅层暴露于氢自由基。
19.权利要求1所述的方法,其中,在450℃和600℃之间的温度下,用氢自由基处理所述氮化硅沉积层。
20.权利要求1所述的方法,其中在处理氮化硅层之后,氮化硅层具有的氢浓度原子百分数少于10,在氮化硅层暴露于氢自由基后具有大于或等于1.90的折射率,和与使用缓冲氧化物蚀刻时的二氧化硅的蚀刻速率大约相同的蚀刻速率,或它们的组合。
21.形成氮化硅层的方法,包括:
在低于550℃的温度和高于每分钟100的沉积速率下,通过热解含硅和氮的源气体或含硅源气体和含氮源气体,沉积氮化硅层至厚度小于150;和
使沉积的氮化硅层暴露于氢自由基,所述氢自由基通过含氢气体的等离子体分解形成。
22.权利要求21所述的方法,其中所述含硅源气体或含硅和氮的源气体选自:有机二-或单-硅烷或有机氨基二-或单-硅烷。
23.权利要求21所述的方法,其中所述含氢源气体包括氨(NH3)、氢气(H2)、或氨(NH3)和氢气(H2)的组合。
24.权利要求21所述的方法,其中所述沉积的氮化硅层是用5×1015原子/平方厘米和1×1017原子/平方厘米之间流量的氢自由基处理的。
25.权利要求21所述的方法,其中,在沉积的氮化硅层暴露于氢自由基之后,所述沉积的氮化硅层带有氢自由基,所述沉积的氮化硅层具有小于10%的氢浓度和实质上减少的Si-H键。
26.权利要求21所述的方法,其中所述等离子体沉积远离用氢自由基处理氮化硅层的室。
27.权利要求21所述的方法,其中所述氮化硅层是在其被沉积的同一室中被处理的。
28.形成氮化硅层的方法,包括:
通过热解含硅和氮的源气体或含硅源气体和含氮源气体,沉积氮化硅层,其中所述含硅源气体或含硅和氮的源气体包含氯和碳;和
用含氢气体的等离子体分解形成的氢自由基处理沉积的氮化硅层,形成处理的氮化硅层。
29.形成氮化硅层的方法,包括:
通过热解含硅和氮的源气体或含硅源气体和含氮源气体,沉积氮化硅层,其中在沉积氮化硅层之后,氮化硅层具有的氢浓度原子百分数大于15和碳浓度原子百分数大于10;和
用氢自由基处理沉积的氮化硅层,直至氮化硅层的氢浓度原子百分数低于10和碳浓度原子百分数低于5。
30.权利要求29所述的方法,其中在沉积的氮化硅层暴露于氢自由基之后,所述氮化硅层具有的氯浓度原子百分数少于1.0。
31.形成氮化硅层的装置,包括:
衬底支架,位于室中,用于支撑衬底;
加热器,用于加热放置在所述衬底支架上的衬底;
气体进口,用于提供工艺气体混合物进入所述室中,工艺气体混合物包括硅源气体和氮源气体和/或硅和氮源气体;
用于从含氢气体产生氢自由基的装置;和
处理器/控制器,用于控制所述装置的操作,其中所述处理器/控制器包含具有多种指令的存储器,所述指令用于将位于衬底支架上的衬底加热至低于550℃的温度,和用于在加热衬底以便在衬底上形成氮化硅层时提供含硅源气体和含氮源气体或含硅和氮的源气体进入所述室中;和用于控制产生氢自由基的装置,从而用氢自由基处理氮化硅层。
CN2004800380170A 2003-12-19 2004-12-15 形成高质量低温氮化硅层的方法和设备 Expired - Fee Related CN1898409B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/741,417 2003-12-19
US10/741,417 US7972663B2 (en) 2002-12-20 2003-12-19 Method and apparatus for forming a high quality low temperature silicon nitride layer
PCT/US2004/041999 WO2005066386A2 (en) 2003-12-19 2004-12-15 A method and apparatus for forming a high quality low temperature silicon nitride layer

Publications (2)

Publication Number Publication Date
CN1898409A true CN1898409A (zh) 2007-01-17
CN1898409B CN1898409B (zh) 2010-12-22

Family

ID=34749199

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800380170A Expired - Fee Related CN1898409B (zh) 2003-12-19 2004-12-15 形成高质量低温氮化硅层的方法和设备

Country Status (6)

Country Link
US (2) US7972663B2 (zh)
EP (1) EP1713952A2 (zh)
JP (1) JP4658963B2 (zh)
KR (1) KR101295604B1 (zh)
CN (1) CN1898409B (zh)
WO (1) WO2005066386A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103928647B (zh) * 2013-01-16 2016-02-17 海洋王照明科技股份有限公司 氮化硅复合隔膜及其制备方法
TWI551711B (zh) * 2010-11-24 2016-10-01 愛發科股份有限公司 薄膜形成裝置及薄膜形成裝置之清潔方法
CN107342403A (zh) * 2017-06-09 2017-11-10 江永斌 含有氮化硅的纳米材料及其制备方法和用途
CN108780735A (zh) * 2016-03-13 2018-11-09 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
CN109585472A (zh) * 2017-09-28 2019-04-05 佳能株式会社 成像装置、成像装置的制造方法以及设备
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN111684566A (zh) * 2018-01-26 2020-09-18 应用材料公司 用于氮化硅薄膜的处理方法
CN113169022A (zh) * 2018-11-30 2021-07-23 应用材料公司 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理
CN113169022B (zh) * 2018-11-30 2024-05-14 应用材料公司 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
JP5149273B2 (ja) * 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7678422B2 (en) 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
WO2011017060A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
JP2011074413A (ja) * 2009-09-29 2011-04-14 Tokyo Electron Ltd 成膜装置および成膜方法、ならびに基板処理装置
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
CN102420109B (zh) * 2011-06-15 2014-12-10 上海华力微电子有限公司 一种提高mim器件电容均匀性的方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
SG2014008270A (en) 2011-11-03 2014-11-27 Applied Materials Inc Rapid thermal processing chamber
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US20150303060A1 (en) 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
CN105609411A (zh) * 2016-02-26 2016-05-25 上海华力微电子有限公司 改善hcd氮化硅片均匀性的方法
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9922823B1 (en) * 2016-09-07 2018-03-20 Euclid Techlabs, Llc CVD reactor and method for nanometric delta doping of diamond
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
JP7458296B2 (ja) 2020-10-28 2024-03-29 大陽日酸株式会社 ハロゲンアミノジシラン化合物、シリコン含有薄膜形成用組成物およびシリコン含有薄膜

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4908678A (en) * 1986-10-08 1990-03-13 Semiconductor Energy Laboratory Co., Ltd. FET with a super lattice channel
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US5264724A (en) * 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
KR0180015B1 (ko) * 1990-09-11 1999-04-01 제이코버스 코넬리스 레이서 이지방 케톤 및 베타-케토에스테르의 양이 감소된 고도로 에스테르화된 폴리올 지방산 폴리에스테르의 개선된 제조방법
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
JP3149223B2 (ja) 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH0613329A (ja) 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
WO1995018460A1 (en) * 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JPH07283154A (ja) 1994-02-21 1995-10-27 Nissin Electric Co Ltd プラズマcvd法及び装置
JP3348509B2 (ja) 1994-03-30 2002-11-20 ソニー株式会社 絶縁膜の成膜方法
JP3571404B2 (ja) * 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
JP2757850B2 (ja) * 1996-04-18 1998-05-25 日本電気株式会社 薄膜トランジスタおよびその製造方法
JP3220645B2 (ja) * 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JPH10261658A (ja) 1997-03-17 1998-09-29 Toyota Motor Corp 半導体装置の製造方法
US6255197B1 (en) * 1998-06-10 2001-07-03 Jim Mitzel Hydrogen annealing method and apparatus
JP3320685B2 (ja) * 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
KR100854555B1 (ko) * 1999-07-08 2008-08-26 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
JP3406250B2 (ja) * 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6573652B1 (en) * 1999-10-25 2003-06-03 Battelle Memorial Institute Encapsulated display devices
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR100338125B1 (ko) * 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
JP2001258139A (ja) 2000-03-09 2001-09-21 Mitsubishi Electric Corp 電気所の引留鉄構
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) * 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
EP1207522A1 (en) * 2000-11-16 2002-05-22 Fuji Photo Film Co., Ltd. Magnetic recording medium
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP2002231628A (ja) * 2001-02-01 2002-08-16 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6583046B1 (en) * 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
US20030186324A1 (en) * 2001-09-07 2003-10-02 Irm Llc, A Delaware Limited Liability Company Sensory neuron receptors
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP3820212B2 (ja) 2002-12-11 2006-09-13 アプライド マテリアルズ インコーポレイテッド Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
EP1584100A2 (en) 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP3793179B2 (ja) 2003-06-12 2006-07-05 株式会社東芝 窒化膜の膜質改善方法、及び半導体装置の製造方法
JP4474596B2 (ja) * 2003-08-29 2010-06-09 キヤノンアネルバ株式会社 シリコンナノ結晶構造体の形成方法及び形成装置
JP2005150637A (ja) * 2003-11-19 2005-06-09 Canon Inc 処理方法及び装置
JP4653949B2 (ja) * 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI551711B (zh) * 2010-11-24 2016-10-01 愛發科股份有限公司 薄膜形成裝置及薄膜形成裝置之清潔方法
CN103928647B (zh) * 2013-01-16 2016-02-17 海洋王照明科技股份有限公司 氮化硅复合隔膜及其制备方法
CN108780735A (zh) * 2016-03-13 2018-11-09 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN107342403A (zh) * 2017-06-09 2017-11-10 江永斌 含有氮化硅的纳米材料及其制备方法和用途
CN107342403B (zh) * 2017-06-09 2019-11-12 江永斌 含有氮化硅的纳米材料及其制备方法和用途
CN109585472A (zh) * 2017-09-28 2019-04-05 佳能株式会社 成像装置、成像装置的制造方法以及设备
CN109585472B (zh) * 2017-09-28 2023-10-03 佳能株式会社 成像装置、成像装置的制造方法以及设备
CN111684566A (zh) * 2018-01-26 2020-09-18 应用材料公司 用于氮化硅薄膜的处理方法
CN113169022A (zh) * 2018-11-30 2021-07-23 应用材料公司 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理
CN113169022B (zh) * 2018-11-30 2024-05-14 应用材料公司 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理

Also Published As

Publication number Publication date
KR20070026367A (ko) 2007-03-08
KR101295604B1 (ko) 2013-08-12
CN1898409B (zh) 2010-12-22
US20100029094A1 (en) 2010-02-04
US7972663B2 (en) 2011-07-05
JP4658963B2 (ja) 2011-03-23
EP1713952A2 (en) 2006-10-25
US20040194706A1 (en) 2004-10-07
WO2005066386A2 (en) 2005-07-21
JP2007520056A (ja) 2007-07-19
WO2005066386A3 (en) 2005-09-09

Similar Documents

Publication Publication Date Title
CN1898409B (zh) 形成高质量低温氮化硅层的方法和设备
CN100567564C (zh) 形成高质量的低温氮化硅层的方法和设备
CN101597754B (zh) 形成高质量的低温氮化硅膜的方法和设备
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
KR101497902B1 (ko) 원자층 증착에 의해 보조되는 등각 플라즈마 잠입 이온 주입(piii)을 위한 방법
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
TWI438839B (zh) 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法
CN101167165B (zh) 增加pecvd氮化硅膜层的压缩应力的方法
KR20170125732A (ko) Pecvd를 사용하여 컨포멀하고 저 습식 에칭 레이트의 캡슐화 층을 증착하는 방법
US20060014399A1 (en) Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
CN102437053A (zh) 增加pecvd氮化硅膜层的压缩应力的方法
JP2014143416A (ja) 有機金属共反応物を用いた交差メタセシス反応によりSiCおよびSiCN膜を成膜するための装置及び方法
CN102197483A (zh) 具有氮化硅电荷陷阱层的非挥发性内存
US20060225657A1 (en) Apparatus and method for depositing a dielectric film
CN101199044A (zh) 硅基电介质的化学气相沉积方法
KR101022949B1 (ko) 고품질 저온 실리콘질화물층 형성 방법 및 장치
JP4200618B2 (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101222

Termination date: 20151215

EXPY Termination of patent right or utility model