KR101158377B1 - 플라즈마 처리 방법 및 플라즈마 처리 시스템 - Google Patents

플라즈마 처리 방법 및 플라즈마 처리 시스템 Download PDF

Info

Publication number
KR101158377B1
KR101158377B1 KR1020107016842A KR20107016842A KR101158377B1 KR 101158377 B1 KR101158377 B1 KR 101158377B1 KR 1020107016842 A KR1020107016842 A KR 1020107016842A KR 20107016842 A KR20107016842 A KR 20107016842A KR 101158377 B1 KR101158377 B1 KR 101158377B1
Authority
KR
South Korea
Prior art keywords
substrate
bias power
plasma
plasma processing
film
Prior art date
Application number
KR1020107016842A
Other languages
English (en)
Other versions
KR20100098575A (ko
Inventor
타다시 시마즈
세이지 니시카와
히데타카 가후쿠
Original Assignee
미츠비시 쥬고교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미츠비시 쥬고교 가부시키가이샤 filed Critical 미츠비시 쥬고교 가부시키가이샤
Publication of KR20100098575A publication Critical patent/KR20100098575A/ko
Application granted granted Critical
Publication of KR101158377B1 publication Critical patent/KR101158377B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

바이어스 파워를 인가함으로써 수행될 수 있는 SiN 막의 임베딩이 가능한 플라즈마 처리 방법 및 플라즈마 처리 시스템을 제공하기 위해, 실리콘 및 수소를 함유하는 원료 가스와 질소를 함유하는 가스의 플라즈마를 사용함으로써, 플라즈마 처리에 대한 대상인 기판 (21) 상에 질화 실리콘 막을 성막하는 플라즈마 프로세싱 방법에서, 기판 (21) 으로 이온들을 임사시키기 위한 바이어스 파워가 임계치 이상으로 세팅되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시킨다.

Description

플라즈마 처리 방법 및 플라즈마 처리 시스템{PLASMA PROCESSING METHOD AND PLASMA PROCESSING SYSTEM}
본 발명은 플라즈마 처리 방법 및 플라즈마 처리 시스템에 관한 것이다.
최근, 반도체 소자들의 미세화에 따라 (1 ㎛ 미만의 홀 직경 (φ) 및 1 이상의 애스팩트비를 갖는 홀과 같은) 고 애스팩트비를 갖는 미소 홀 내에 SiN 막을 임베딩하는 것에 대한 필요성들이 증가하였다. 플라즈마 CVD 장치의 사용에 의해 SiN 막의 임베딩을 수행하기 위해서는 바이어스 파워가 증가될 필요가 있다.
이는, 성막에서 바이어스 파워가 인가되지 않거나 또는 작은 바이어스 파워가 인가되는 경우에, 성막될 막의 전구체의 입사 각도로 인해 홀의 저부에서보다 개구부에서 막이 더 많이 퇴적된다. 결과로서, 홀의 개구부가 막히고, 홀 내부에 공공 (void) 이 형성된다. 그러나, 바이어스 파워를 증가시키는 것은 성막과 스퍼터링이 동시에 수행되게 하여, 개구부에서의 퇴적을 억제하고, 따라서 홀의 저부 및 내부에서의 성막의 진행을 허용한다. 따라서, 이는 공공들을 갖지 않은 막의 임베딩을 가능하게 한다. 그러한 플라즈마 처리의 예들은 하기의 비-특허 문헌 1 및 비-특허 문헌 2 에서 개시된다.
비-특허 문헌 1: Tatsuru Shirafuji, "Gas phase/surface reactions of plasma-enhanced CVD", [online], [2008년 1월 16일 검색], 인터넷 <URL:http://shira.iic.kyoto-u.ac.jp/2006-09-02-PECVD-Lecture.pdf>
비-특허 문헌 2: C. W. Pearce, R. F. Fetcho, M. D. Gross, R. F. Koefer, R. A. Pudliner,"Characteristics of silicon nitride deposited by plasma-enhanced chemical; vapor deposition using a dual frequency radio-frequency source" J. Appl. Phys. February 15, 1992, Vol. 71, No. 4, p. 1838-1841
그러나, 상술된 비-특허 문헌 1 의 도 31에 개시된 바와 같이, 종래의 플라즈마 처리 방법에서, 바이어스 파워를 증가시키는 것은 SiN 막의 (Si-H 결합량)/(N-H 결합량) 이 감소되게 하고, 이에 따라, 압축 응력이 증가한다. 따라서, 압축 응력에서의 그러한 증가로 인한 박리 (detach) 된 막의 발생은, 바이어스 파워의 인가에 의한 SiN 막의 임베딩에 대해 장애를 만들어 내는 문제가 있다.
이 관점으로부터, 본 발명의 목적은, 바이어스 파워의 인가로 인한, 압축 응력에서의 증가 및 Si-H/N-H 결합에서의 감소를 억제하면서, SiN 막을 임베딩하는 것이 가능한, 플라즈마 처리 방법 및 플라즈마 처리 시스템을 제공하는 것이다.
상기 문제를 해소하기 위한 제 1 발명에 따른 플라즈마 처리 방법은, 실리콘 및 수소를 함유하는 원료 가스와 질소를 함유하는 가스의 플라즈마를 사용함으로써, 플라즈마 처리에 대한 대상인 기판 상에 질화 실리콘 막을 성막하는 플라즈마 처리 방법으로서, 기판으로 이온들을 입사시키기 위한 바이어스 파워가 임계치 이상으로 세팅되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 것을 특징으로 한다.
상기 문제를 해소하기 위한 제 2 발명에 따른 플라즈마 처리 방법은, 제 1 발명에 따른 플라즈마 처리 방법으로서, 플라즈마를 생성하기 위해 인가되는 RF 파워가 감소되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 것을 특징으로 한다.
상기 문제를 해소하기 위한 제 3 발명에 따른 플라즈마 처리 방법은, 제 1 발명에 따른 플라즈마 처리 방법으로서, 압력이 상승되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 것을 특징으로 한다.
상기 문제를 해소하기 위한 제 4 발명에 따른 플라즈마 처리 방법은, 제 1 발명에 따른 플라즈마 처리 방법으로서, 질소를 함유하는 가스의 공급량들이 증가되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 것을 특징으로 한다.
상기 문제를 해소하기 위한 제 5 발명에 따른 플라즈마 처리 방법은, 제 1 발명에 따른 플라즈마 처리 방법으로서, 플라즈마 처리 온도가 저하되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 것을 특징으로 한다.
상기 문제를 해소하기 위한 제 6 발명에 따른 플라즈마 처리 방법은, 제 1 발명에 따른 플라즈마 처리 방법으로서, 임계치가 200 ㎜ 웨이퍼의 경우에 1.2 ㎾ 로 세팅되는 것을 특징으로 한다.
상기 문제를 해소하기 위한 제 7 발명에 따른 플라즈마 처리 시스템은: 진공 챔버 내에 공급될, 실리콘 및 수소를 함유하는 원료 가스 및 질소를 함유하는 가스의 가스 공급량들을 제어하는 가스 공급량 제어 수단; 진공 챔버 내부의 압력을 제어하는 압력 제어 수단; 진공 챔버 내부의 원료 가스와 질소 가스에 RF 파워를 인가함으로써 플라즈마를 생성하는 플라즈마 생성 수단; 진공 챔버의 내부에서, 플라즈마 처리에 대한 대상인 기판을 홀딩하는 기판 홀딩 수단; 기판의 플라즈마 처리 동안에 온도를 제어하는 온도 제어 수단; 기판에 바이어스 파워를 인가하는 바이어스 파워 인가 수단; 및 기판으로 이온들을 입사시키기 위한 바이어스 파워를 임계치 이상으로 세팅하여 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 파라미터 제어 수단을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템이다.
본 발명에 따르면, 실리콘 및 수소를 함유하는 원료 가스와 질소를 함유하는 가스의 플라즈마를 사용함으로써, 플라즈마 처리에 대한 대상인 기판 상에 질화 실리콘 막을 성막하는 플라즈마 처리 방법에서, 질화 실리콘 막에서의 Si-H 결합량을 증가시킴으로써 질화 실리콘 막에서의 압축 응력이 감소될 수 있다. 이는, 바이어스 파워 인가 시의 SiN 막에서의 압축 응력의 증가로 인한 막 박리를 억제한다. 따라서, 바이어스 파워를 인가함으로써 SiN 막을 임베딩하는 것이 가능하다.
도 1은 본 발명의 실시형태에 따른 플라즈마 프로세싱 시스템의 구성도이다.
도 2는 인가되는 바이어스 파워에서 변화를 가지고 형성된 각각의 SiN 막들에서의 압축 응력을 측정하기 위한 실험의 실험 결과를 그래프의 형태로 나타낸 도면이다.
도 3은 인가되는 바이어스 파워에서 변화를 가지고 형성된 각각의 SiN 막들에서의 Si-H 양/ N-H 양을 측정하기 위한 실험의 실험 결과를 그래프의 형태로 나타낸 도면이다.
도 4는 바이어스 파워를 0.5 ㎾ 로 세팅하여 성막된 SiN 막의 현미경 사진을 도시하는 도면이다.
도 5는 바이어스 파워를 1.6 ㎾ 로 세팅하여 성막된 SiN 막의 현미경 사진을 도시하는 도면이다.
도 6은 바이어스 파워를 2.4 ㎾ 로 세팅하여 성막된 SiN 막의 현미경 사진을 도시하는 도면이다.
도 7은 상이한 막 두께를 갖는 각각의 SiN 막들에서의 압축 응력들을 측정하기 위한 실험의 실험 결과를 그래프의 형태로 나타낸 도면이다.
도 8은 성막 레이트에서 변화를 가지고 성막된 각각의 SiN 막들에서의 압축 응력들을 측정하기 위한 실험의 실험 결과를 그래프의 형태로 나타낸 도면이다.
본 발명에 따른 플라즈마 처리 방법 및 플라즈마 처리 시스템의 실시형태가 도면들을 사용하여 이하 설명될 것이다.
도 1은 본 발명의 실시형태에 따른 플라즈마 처리 시스템의 구성도이다. 도 1에 도시된 바와 같이, 플라즈마 처리 시스템 (1) 은 고 진공도를 유지할 수 있는 진공 챔버 (10) 를 포함한다. 이 진공 챔버 (10) 는 원통형 용기 (11) 및 천정판 (ceiling plate) (12) 을 포함하고, 원통형 용기 (11) 의 상부 상에 천정판 (12) 을 피팅 (fit) 함으로써 외부 공기로부터 밀봉된 공간을 형성한다.
진공 챔버 (10) 에는 진공 챔버 (10) 내부의 진공 상태를 설정하기 위한 진공 디바이스 (13) 가 제공된다. 플라즈마 (14) 를 생성하도록 구성된 RF 안테나 (15) 가 천정판 (12) 의 상부에 위치된다. 그 RF 안테나 (15) 에 정류기 (rectifier) (16) 를 통해 고주파수 전원인 RF 전원 (17) 이 접속된다. 구체적으로, RF 전원 (17) 공급된 RF 파워가 RF 안테나 (15) 를 통해 플라즈마 (14) 에 공급된다.
성막될 막의 재료인 원료 가스를 진공 챔버 (10) 내에 공급하도록 구성된 원료 가스 공급관 (18) 이 원통형 용기 (11) 의 측벽의 상부에 배치된다. 원료 가스 공급관 (18) 에는 원료 가스의 공급량을 제어하도록 구성된 원료 가스 공급량 제어 밸브 (18a) 가 제공된다. 이 실시형태에서, 원료 가스로서 SiH4 가 공급된다. 진공 챔버 (10) 내에 N2 가스를 공급하도록 구성된 N2 가스 공급관 (19) 이 원통형 용기 (11) 의 측벽의 상부에 배치된다. N2 가스 공급관 (19) 에는 N2 가스의 공급량을 제어하도록 구성된 N2 가스 공급량 제어 밸브 (19a) 가 제공된다. 진공 챔버 (10) 내에 Ar 가스를 공급하도록 구성된 Ar 가스 공급관 (20) 이 원통형 용기 (11) 의 측벽의 상부에 배치된다. Ar 가스 공급관 (20) 에는 Ar 가스의 공급량을 제어하도록 구성된 Ar 가스 공급량 제어 밸브 (20a) 가 제공된다. 이들 구성요소들에 의해, 진공 챔버 (10) 내부의 상부에서 SiH4, N2, 및 Ar 의 플라즈마 (14) 가 생성된다.
성막에 대한 대상인 기판 (21) 을 홀딩하도록 구성된 기판 지지대 (22) 가 원통형 용기 (11) 내부의 하부에 배치된다. 그 기판 지지대 (22) 는, 기판 (21) 을 홀딩하도록 구성된 기판 홀딩부 (23), 및 그 기판 홀딩부 (23) 를 지지하도록 구성된 지지축 (24) 을 포함한다. 기판 홀딩부 (23) 내부에는 가열을 위한 히터 (25) 가 배치된다. 히터 제어 디바이스 (26) 가 그 히터 (25) 의 온도를 조정한다. 따라서, 플라즈마 처리 동안에 기판 (21) 의 온도를 제어하는 것이 가능하다.
기판 (21) 에 바이어스 파워가 인가될 수 있도록, 캐패시터 (27) 및 정류기 (28) 를 통해 기판 홀딩부 (23) 에 바이어스 전원 (29) 이 접속된다. 이는, 플라즈마 (14) 로부터 기판 (21) 의 표면으로 이온을 인출하는 것을 가능하게 한다. 또한, 정전기력에 의해 기판 (21) 이 홀딩될 수 있도록, 기판 홀딩부 (23) 에 정전 전원 (30) 이 접속된다. 정전 전원 (30) 으로 RF 전원 (17) 및 바이어스 전원 (29) 으로부터의 파워가 플로우하지 않도록, 로우 패스 필터 (31) (LPF) 를 통해 기판 홀딩부 (22) 에 정전 전원 (30) 이 접속된다.
또한, 바이어스 전원 (29) 의 바이어스 파워, RF 전원 (17) 의 RF 파워, 진공 디바이스 (13) 의 압력, 히터 제어 디바이스 (26), 및 원료 가스 공급 밸브 (18a), N2 가스 공급 밸브 (19a) 및 Ar 가스 공급 밸브 (20a) 의 가스 공급량들을 각각 제어할 수 있는 파라미터 제어 디바이스 (32) 가 제공된다. 도 1의 일점쇄선은, 바이어스 전원 (29), RF 전원 (17), 진공 디바이스 (13), 히터 제어 디바이스 (26), 원료 가스 공급 밸브 (18a), N2 가스 공급 밸브 (19a), 및 Ar 가스 공급 밸브 (20a) 로 파라미터 제어 디바이스로부터의 제어 신호들을 송신하기 위한 신호선들을 나타낸다.
상술된 본 발명에 따른 플라즈마 처리 시스템에서, 후술될 조건들에 기초하여, 바이어스 파워, RF 파워, 압력, 성막 온도, 및 가스 공급량들을 제어하는 파라미터 제어 디바이스 (32) 의 사용에 의해, 바이어스 파워의 인가에 의한 막의 임베딩이 가능하게 된다. 본 실시형태에 따른 파라미터 제어 디바이스 (32) 는, 기판 (21) 으로 이온들을 입사시키기 위한 바이어스 파워를 임계치 이상으로 특별히 세팅하여 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키기 위한 제어를 수행한다. 여기서, 기판 (21) 으로 이온들을 입사시키기 위한 바이어스 파워를 임계치 이상으로 세팅하여 Si-H 결합량을 증가시킴으로써 압축 응력을 감소시키는 것이 가능한 이유가 이하 상세히 설명될 것이다.
이제, 본 실시형태에 따른 플라즈마 처리 시스템을 사용함으로써 수행된 다양한 실험들의 실험 결과들이 먼저 설명될 것이다.
형성되는 동안에 상이한 바이어스 파워가 각각 인가된 각각의 SiN 막들에서의 압축 응력들, Si-H 결합량들, 및 N-H 결합량들이 측정된 실험이 제 1 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건에 대하여, RF 파워 (13.56 ㎒) 가 2 ㎾ 로 세팅되었고, SiH4, N2, 및 Ar 의 유량들이 모두 50 sccm 으로 세팅되었고, 압력이 25 mTorr 로 세팅되었고, 성막 온도가 250 ℃ 로 세팅되었으며, 성막될 SiN 막의 막 두께는 350 ㎚ 로 세팅되었다.
인가되는 바이어스 파워에서 변화 (4 ㎒) 를 가지고 상술된 프로세스 조건들 하에서 형성된 각각의 SiN 막들에서의 압축 응력의 측정의 결과들을 나타낸다. 테이블 1은 인가되는 바이어스 파워에서 변화를 가지고 형성된 각각의 SiN 막들에서의 압축 응력들을 측정하기 위한 실험의 실험 결과를 나타내는 테이블이다. 도 2는 테이블 1에 나타낸 실험 결과를 그래프의 형태로 나타낸 도면이다.
[테이블 1]
Figure 112010048553729-pct00001
도 2에 도시된 바와 같이, 0.1 ㎾ 의 바이어스 파워까지 (0.1 ㎾ 가 최대) 종래의 경우와 유사하게 바이어스 파워의 인가에 의해 압축 응력이 증가된다. 그러나, 바이어스 파워가 더 증가되는 경우에 압축 응력이 더 작아진다. 또한, 각각의 바이어스 파워 레벨들에서 SiN 막의 상태가 관찰되었고, 1.6 ㎾ 이하의 바이어스 파워 레벨에서 막 박리가 발생하였지만, 1.8 ㎾ 이상의 바이어스 파워 레벨에서는 막 박리가 발생하지 않았다는 것이 발견되었다. 따라서, 막 박리의 발생을 억제하기 위해 바이어스 파워가 미리 결정된 임계치 이상으로 세팅될 필요가 있다는 것이 명백하다. 이러한 이유로, 바이어스 파워를 임계치 이상으로 세팅하기 위해, 기판 (21) (도 1 참조) 에 단위 면적 당 5.7 W/㎠ (200 ㎜ 웨이퍼의 경우에는 1.8 ㎾) 이상의 바이어스 파워를 인가할 필요가 있다.
인가되는 바이어스 파워 (4 ㎒) 에서 변화를 가지고 상술된 프로세스 조건들 하에서 형성된 SiN 막들의 Si-H 결합량들 및 N-H 결합량들의 측정의 결과를 나타내며, 측정은 FTIR (Fourier transform infrared spectrophotometer) 을 사용하여 수행되었다. 테이블 2는 인가되는 바이어스 파워에서 변화를 가지고 형성된 SiN 막들에서의 Si-H 결합량들 및 N-H 결합량들을 측정하기 위한 실험의 실험 결과를 나타낸다. 한편, 도 3은, 테이블 2에 나타낸, 인가되는 바이어스 파워에서 변화를 가지고 형성된 SiN 막들에서의 Si-H 양들/N-H 양들의 측정 결과를 그래프의 형태로 나타낸 도면이다.
[테이블 2]
Figure 112010048553729-pct00002
도 3에 도시된 바와 같이, 0.1 ㎾ 의 바이어스 파워까지 종래의 경우와 유사하게 Si-H/N-H 가 감소된다. 그러나, 바이어스 파워가 더 증가되는 경우에, 바이어스 파워에 비례하여 Si-H 결합량이 증가되고, Si-H 양/N-H 양이 증가된다.
SiN 막의 성막 동안에 바이어스 파워가 0.5 ㎾, 1.6 ㎾, 또는 2.4 ㎾ 로 세팅된 경우에서의 성막된 SiN 막들의 각각의 상태가 설명될 것이다. 도 4는 바이어스 파워를 0.5 ㎾ 로 세팅하여 성막된 SiN 막의 현미경 사진을 도시하는 도면이고, 도 5는 바이어스 파워를 1.6 ㎾ 로 세팅하여 성막된 SiN 막의 현미경 사진을 도시하는 도면이며, 도 6은 바이어스 파워를 2.4 ㎾ 로 설정하여 성막된 SiN 막의 현미경 사진을 도시하는 도면이다.
도 4에 도시된 바와 같이, 바이어스 파워가 0.5 ㎾ 로 세팅된 경우에, 기판 (21) (도 1) 의 전면에 걸쳐서 막 박리가 발생한다. 도 4에서 패턴들처럼 보이는 부분들이 막이 박리된 부분들을 나타낸다. 한편, 도 5에 도시된 바와 같이, 바이어스 파워가 1.6 ㎾ 로 세팅된 경우에, 미소한 막 박리가 존재한다. 도 5에서 파선들로 둘러싸인 작은 점들처럼 보이는 부분들이 막이 박리된 부분들이다.
한편, 도 6에 도시된 바와 같이, 바이어스 파워가 2.4 ㎾ 로 세팅된 경우에, 막 박리가 발생하지 않는다. 따라서, 바이어스 파워가 약 1.6 ㎾ 미만인 경우에 막 박리가 발생하지만, 바이어스 파워가 약 2.4 ㎾ 인 경우에는 발생하지 않는다.
제 1 실험의 결과로부터, 바이어스 파워가 임계치보다 더 높게 세팅되는 경우에, SiN 막에서의 압축 응력은 감소되고, Si-H 양/N-H 양은 증가한다. 이는, 임계치 미만의 바이어스 파워 (이온 충격) 는 막 밀도를 향상시키고 압축 응력을 증가시키지만, 임계치보다 더 높은 바이어스 파워가 인가되는 경우에 발생하는 수소의 인출 효과 (drawing effect) 로 인해 압축 응력이 감소되기 때문이라 추측된다. 즉, 종래의 기술은, SiN 막을 임베딩하기 위해 바이어스 파워가 증가되는 경우에 발생하는 압축 응력에서의 증가로 인해 막 박리의 문제를 갖는다. 한편, 본 발명에서, 바이어스 파워를 임계치 이상이 되도록 제어함으로써, 수소의 인출 효과로 인해 압축 응력이 감소된다. 이는, 막의 임베딩을 허용한다.
SiN 막들의 성막 시에, 성막될 SiN 막의 막 두께들이 각각 350 ㎚ 및 10000 ㎚ 인 각각의 경우들에서의 압축 응력들이 측정된 실험이 제 2 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건들에 대하여, 바이어스 파워 (4 ㎒) 가 2.4 ㎾ 로 세팅되었고, RF 파워 (13.56 ㎒) 가 2 ㎾ 로 세팅되었고, SiH4, N2, 및 Ar 의 유량들이 모두 50 sccm 으로 세팅되었고, 압력이 25 mTorr 로 세팅되었으며, 성막 온도가 250 ℃ 로 세팅되었다.
테이블 3은, 350 ㎚ 및 10000 ㎚ 의 막 두께들을 각각 갖는 각각의 SiN 막들의 압축 응력들을 측정하기 위한 실험의 실험 결과를 나타내는 테이블이다. 한편, 도 7은, 테이블 3에 나타낸 바와 같이, 350 ㎚ 와 10000 ㎚ 사이의 SiN 막의 상이한 막 두께들을 각각 갖는 각각의 SiN 막들에서의 압축 응력들의 실험 결과를 그래프의 형태로 나타낸 도면이다.
[테이블 3]
Figure 112010048553729-pct00003
도 7에 도시된 바와 같이, SiN 막의 막 두께가 증가되는 경우에, SiN 막에서의 압축 응력이 더 작아진다. 이는, 막 두께가 더 두꺼워지는 경우에, 전체 SiN 막의 Si-H 결합량이 증가하기 때문이다. 따라서, 막 두께를 제어함으로써 Si-H 결합량이 제어되어, SiN 막에서의 압축 응력의 제어를 허용할 수 있다. 여기서, 제 2 실험의 결과로부터 1 ㎛ 이상의 깊이를 갖는 홀에서도 막의 임베딩이 가능하다는 것이 밝혀진다.
SiN 막의 성막 시에 SiH4 의 유량을 변화시킴으로써 성막 레이트에서 변화를 가지고 성막된 각각의 SiN 막들에서의 압축 응력들이 측정된 실험이 제 3 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건에 대하여, 바이어스 파워 (4 ㎒) 가 2.4 ㎾ 로 세팅되었고, RF 파워 (13.56 ㎒) 가 2 ㎾ 로 세팅되었고, N2 및 Ar 의 유량들이 모두 50 sccm 으로 세팅되었고, 압력이 25 mTorr 로 세팅되었고, 성막 온도가 250 ℃ 로 세팅되었으며, 성막될 SiN 막의 막 두께는 350 ㎚ 로 세팅되었다.
테이블 4는 성막 레이트에서 변화를 가지고 성막된 각각의 SiN 막들에서의 압축 응력들을 측정하기 위한 실험의 실험 결과를 나타내는 테이블이다. 한편, 도 8은, 테이블 4에 나타낸 바와 같이, 성막 레이트에서 변화를 가지고 성막된 각각의 SiN 막들에서의 압축 응력들의 실험 결과를 그래프의 형태로 나타낸 도면이다.
[테이블 4]
Figure 112010048553729-pct00004
도 8에 도시된 바와 같이, 성막 레이트가 더 커지는 경우에, SiN 막에서의 압축 응력이 더 커진다. 따라서, 성막 레이트를 더 작게 세팅함으로써, 인가되는 바이어스 파워가 바이어스 파워의 상술된 임계치보다 더 낮게 세팅되는 경우에도, 막 박리의 발생을 억제하는 것이 가능하다.
성막 온도에서 변화를 가지고 성막된 각각의 SiN 막들에서의 Si-H 결합량들이 측정된 실험이 제 4 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건에 대하여, 바이어스 파워 (4 ㎒) 가 2.4 ㎾ 로 세팅되었고, RF 파워 (13.56 ㎒) 가 2 ㎾ 로 세팅되었고, SiH4, N2 및 Ar 의 유량들이 모두 50 sccm 으로 세팅되었고, 압력이 25 mTorr 로 세팅되었으며, 성막될 SiN 막의 막 두께는 350 ㎚ 로 세팅되었다.
테이블 5는 성막 온도에서 변화를 가지고 성막된 각각의 SiN 막들에서의 Si-H 결합량들을 측정하기 위한 실험의 실험 결과를 나타내는 테이블이다.
[테이블 5]
Figure 112010048553729-pct00005
테이블 5에 나타낸 바와 같이, 성막 온도가 더 낮아지는 경우에, Si-H 결합량이 증가된다. 따라서, 성막 온도를 제어함으로써 Si-H 결합량이 제어되어, SiN 막에서의 압축 응력의 제어를 허용할 수 있다. 즉, 성막 온도를 낮춤으로써 Si-H 결합량이 증가되어, SiN 에서의 압축 응력의 감소를 허용할 수 있다.
N2 의 유량에 대한 SiH4 의 유량 (SiH4 유량/N2 유량) 에서 변화를 가지고 성막된 각각의 SiN 막들에서 Si-H 결합량들이 측정된 실험이 제 5 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건에 대하여, 바이어스 파워 (4 ㎒) 가 2.4 ㎾ 로 세팅되었고, RF 파워 (13.56 ㎒) 가 2 ㎾ 로 세팅되었고, Ar 의 유량이 50 sccm 으로 세팅되었고, 압력이 25 mTorr 로 세팅되었고, 성막 온도가 250 ℃ 로 세팅되었으며, 성막될 SiN 막의 막 두께는 350 ㎚ 로 세팅되었다.
테이블 6은, SiH4 유량/N2 유량에서 변화를 가지고 성막된 각각의 SiN 막들에서의 Si-H 결합량들을 측정하기 위한 실험의 실험 결과를 나타낸 테이블이다.
[테이블 6]
Figure 112010048553729-pct00006
테이블 6에 나타낸 바와 같이, SiH4 유량/N2 유량이 더 커지는 경우에, Si-H 결합량이 증가된다. 따라서, SiH4 유량/N2 유량을 제어함으로써 Si-H 결합량이 증가되어, SiN 막에서의 압축 응력의 제어를 허용할 수 있다. 즉, SiH4 유량/N2 유량을 증가시킴으로써 Si-H 결합량이 증가되어, SiN 에서의 압축 응력의 감소를 허용할 수 있다.
인가되는 RF 파워에서 변화를 가지고 성막된 SiN 막들에서의 Si-H 결합량들이 특정된 실험이 제 6 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건에 대하여, 바이어스 파워 (4 ㎒) 가 2.4 ㎾ 로 세팅되었고, SiH4, N2, 및 Ar 의 유량이 50 sccm 으로 세팅되었고, 압력이 25 mTorr 로 세팅되었고, 성막 온도가 250 ℃ 로 세팅되었으며, 성막될 SiN 막의 막 두께는 350 ㎚ 로 세팅되었다.
테이블 7은, 인가되는 RF 파워에서 변화를 가지고 성막된 각각의 SiN 막들에서의 Si-H 결합량들을 측정하기 위한 실험의 실험 결과를 나타내는 테이블이다.
[테이블 7]
Figure 112010048553729-pct00007
테이블 7에 나타낸 바와 같이, RF 파워가 더 작아지는 경우에, Si-H 결합량이 증가된다. 따라서, RF 파워를 제어함으로써 Si-H 결합량이 제어되어, SiN 막에서의 압축 응력의 제어를 허용할 수 있다. 즉, RF 파워를 감소시킴으로써 Si-H 결합량이 증가되어, SiN 에서의 압축 응력의 감소를 허용할 수 있다.
인가되는 압력에서 변화를 가지고 성막된 SiN 막들에서의 Si-H 결합량들이 측정된 실험이 제 7 실험으로서 수행되었다. 여기서, 성막 처리에 대한 대상으로서의 기판 (21) (도 1 참조) 은 200 ㎜ 의 직경을 갖는 웨이퍼라 가정된다. SiN 막들의 각각의 성막 시의 프로세스 조건에 대하여, 바이어스 파워 (4 ㎒) 가 2.4 ㎾ 로 세팅되었고, RF 파워 (13.56 ㎒) 가 2 ㎾ 로 세팅되었고, SiH4, N2, 및 Ar 의 유량이 50 sccm 으로 세팅되었고, 성막 온도가 250 ℃ 로 세팅되었으며, 성막될 SiN 막의 막 두께는 350 ㎚ 로 세팅되었다.
테이블 8은, 인가되는 압력에서 변화를 가지고 성막된 각각의 SiN 막들에서의 Si-H 결합량들을 측정하기 위한 실험의 실험 결과를 나타내는 테이블이다.
[테이블 8]
Figure 112010048553729-pct00008
테이블 8에 나타낸 바와 같이, 압력이 더 높아지는 경우에, Si-H 결합량이 증가된다. 따라서, 압력을 제어함으로써 Si-H 결합량이 제어되어, SiN 막에서의 압축 응력의 제어를 허용할 수 있다. 즉, 압력을 상승시킴으로써 Si-H 결합량이 증가되어, SiN 에서의 압축 응력의 감소를 허용할 수 있다.
상술된 바와 같이, 제 1 실험 내지 제 7 실험의 결과들로부터, 임계치 이상의 바이어스 파워가 인가되는 영역에서, SiN 막에서의 Si-H 결합들의 양 (Si-H 결합량) 과 SiN 막에서의 압축 응력 사이에 부 (nagative) 의 상관관계가 존재한다는 것이 밝혀졌다. 따라서, 파라미터 제어 디바이스 (32) (도 1) 로 하여금 바이어스 파워, RF 파워, 압력, 막 두께, 가스 혼합비, 및 성막 온도를 제어하게 함으로써 SiN 막에서의 Si-H 결합량이 증가되어, SiN 막에서의 압축 응력을 감소시킬 수 있다. 이는, 바이어스 파워의 인가에 의한 SiN 막의 임베딩을 허용한다.
보다 명확하게 하기 위해, SiN 막의 성막 시의 프로세스 조건들이 다음과 같이 세팅된다고 가정한다. RF 파워 (13.56 ㎒) 가 2 ㎾ 이고, SiH4, N2, 및 Ar 의 유량들이 50 sccm 이고, 압력이 25 mTorr 이고, 성막 온도가 250 ℃ 이며, 성막될 SiN 막의 막 두께가 350 ㎚ 이다. 여기서, 파라미터 제어 디바이스 (32) 는, 성막에 대한 대상으로서의 기판 (21) (도 1 참조) 에 단위 면적 당 5.7 W/㎠ (200 ㎜ 웨이퍼의 경우에, 웨이퍼의 반경 r = 10 ㎝ 이고, 웨이퍼의 면적 πr2 = 3.14 × 102 ㎠ = 314 ㎠ 이며; 따라서, 웨이퍼에 인가되는 바이어스 파워는 314 ㎠ × 5.7 W/㎠ = 1.79 ㎾ 이고, 이 값은 가장 근접한 소수 첫째자리 위로 반올림되어 1.8 ㎾ 이다) 이상의 바이어스 파워가 인가되도록 제어를 수행한다. 또한, 성막에 대한 대상으로서의 기판 (21) (도 1 참조) 에 단위 면적 당 5.7 W/㎠ 이하의 바이어스 파워가 인가되는 경우에, RF 파워가 더 작아지고, 압력이 더 높아지고, SiH4 유량/N2 유량이 더 커지며, 성막 온도가 더 낮아지도록 제어가 수행된다.
바이어스 파워를 인가함으로써 임베딩된 SiN 막은, 예컨대, SiN 막의 고 굴절률 및 고 투과성을 이용하는 이미지 센서 (CCD/COMS) 렌즈 및 도파로에 적용될 수 있고, SiN 막의 배리어 특성을 이용하는 배선을 위한 최종 보호막에 적용될 수 있다. 특히, 바이어스 파워, RF 파워, 압력, 막 두께, 가스 혼합비, 및 성막 온도를 제어함으로써 막에서의 Si-H 결합량을 증가시키는 것이 가능하므로, Si 원자의 댕글링 본드 (dangling bond) 를 수소로 종단하여 암전류가 감소된다고 알려져 있는 이미지 센서로의 적용이 효과적이다.
본 발명은, 바이어스 파워를 인가하면서 SiN 막을 임베딩할 수 있는 플라즈마 처리 방법 및 플라즈마 처리 시스템에 적용가능하다.

Claims (7)

  1. 실리콘 및 수소 함유 원료 가스와 질소 함유 가스에 RF 파워를 인가하여 플라즈마를 생성하고, 상기 플라즈마를 사용함으로써, 플라즈마 처리에 대한 대상인 기판 상에 질화 실리콘 막을 성막하는 플라즈마 처리 방법으로서,
    상기 기판에 교류의 바이어스 파워를 인가하여 상기 플라즈마 중의 이온을 상기 기판에 입사시킴과 함께, 상기 바이어스 파워가 임계치 이상으로 세팅되어 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 것을 특징으로 하는 플라즈마 처리 방법.
  2. 제 1 항에 있어서,
    상기 RF 파워가 감소되어 상기 Si-H 결합량을 증가시킴으로써, 상기 압축 응력을 감소시키는 것을 특징으로 하는 플라즈마 처리 방법.
  3. 제 1 항에 있어서,
    상기 원료 가스와 상기 질소 함유 가스가 공급되는 진공 챔버 내부의 압력이 상승되어 상기 Si-H 결합량을 증가시킴으로써, 상기 압축 응력을 감소시키는 것을 특징으로 하는 플라즈마 처리 방법.
  4. 제 1 항에 있어서,
    상기 원료 가스의 상기 질소 함유 가스에 대한 공급량들이 증가되어 상기 Si-H 결합량을 증가시킴으로써, 상기 압축 응력을 감소시키는 것을 특징으로 하는 플라즈마 처리 방법.
  5. 제 1 항에 있어서,
    상기 기판의 플라즈마 처리 온도가 저하되어 상기 Si-H 결합량을 증가시킴으로써, 상기 압축 응력을 감소시키는 것을 특징으로 하는 플라즈마 처리 방법.
  6. 제 1 항에 있어서,
    상기 임계치는 200 ㎜ 웨이퍼의 경우에 1.2 ㎾ 로 세팅되는 것을 특징으로 하는 플라즈마 처리 방법.
  7. 플라즈마 처리 대상인 기판 상에 질화 실리콘 막을 성막하는 플라즈마 처리 시스템으로서,
    진공 챔버 내에 공급될, 실리콘 및 수소 함유 원료 가스 및 질소 함유 가스의 가스 공급량들을 제어하는 가스 공급량 제어 수단;
    상기 진공 챔버 내부의 압력을 제어하는 압력 제어 수단;
    상기 진공 챔버 내부의 상기 원료 가스와 상기 질소 함유 가스에 RF 파워를 인가함으로써 플라즈마를 생성하는 플라즈마 생성 수단;
    상기 진공 챔버의 내부에서, 상기 기판을 홀딩하는 기판 홀딩 수단;
    상기 기판의 플라즈마 처리 동안에 온도를 제어하는 온도 제어 수단;
    상기 기판에 교류의 바이어스 파워를 인가하여 상기 플라즈마 중의 이온을 상기 기판에 입사시키는 바이어스 파워 인가 수단; 및
    상기 바이어스 파워를 임계치 이상으로 세팅하여 Si-H 결합량을 증가시킴으로써, 압축 응력을 감소시키는 파라미터 제어 수단을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템.
KR1020107016842A 2008-01-28 2009-01-20 플라즈마 처리 방법 및 플라즈마 처리 시스템 KR101158377B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2008-015894 2008-01-28
JP2008015894A JP5297048B2 (ja) 2008-01-28 2008-01-28 プラズマ処理方法及びプラズマ処理装置
PCT/JP2009/050703 WO2009096259A1 (ja) 2008-01-28 2009-01-20 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20100098575A KR20100098575A (ko) 2010-09-07
KR101158377B1 true KR101158377B1 (ko) 2012-06-25

Family

ID=40912612

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107016842A KR101158377B1 (ko) 2008-01-28 2009-01-20 플라즈마 처리 방법 및 플라즈마 처리 시스템

Country Status (6)

Country Link
US (2) US20100310791A1 (ko)
EP (1) EP2242092A4 (ko)
JP (1) JP5297048B2 (ko)
KR (1) KR101158377B1 (ko)
TW (1) TW200947551A (ko)
WO (1) WO2009096259A1 (ko)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5069597B2 (ja) * 2008-03-27 2012-11-07 富士フイルム株式会社 ガスバリアフィルムの製造方法
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP5495940B2 (ja) 2010-05-21 2014-05-21 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
JP5610850B2 (ja) * 2010-05-28 2014-10-22 三菱重工業株式会社 窒化珪素膜の製造方法及び装置
JP2011249626A (ja) 2010-05-28 2011-12-08 Mitsubishi Heavy Ind Ltd 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8844793B2 (en) * 2010-11-05 2014-09-30 Raytheon Company Reducing formation of oxide on solder
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
JP2014060378A (ja) * 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
CN107665800B (zh) * 2016-07-28 2019-06-07 中微半导体设备(上海)股份有限公司 一种用于等离子处理器的射频电源控制装置及其控制方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP7240517B2 (ja) * 2019-09-20 2023-03-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297830A (ja) * 2002-04-03 2003-10-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005079254A (ja) * 2003-08-29 2005-03-24 Mitsubishi Heavy Ind Ltd 窒化シリコン膜の成膜方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
JPH04297033A (ja) * 1990-05-28 1992-10-21 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JPH08115912A (ja) * 1994-10-14 1996-05-07 Nippon Telegr & Teleph Corp <Ntt> 窒化ケイ素薄膜の作製方法
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JP3141805B2 (ja) * 1997-01-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
CN1161820C (zh) * 1998-07-31 2004-08-11 佳能株式会社 半导体层制造方法和制造设备、光生伏打电池的制造方法
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6667248B2 (en) * 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP3840147B2 (ja) * 2002-06-21 2006-11-01 キヤノン株式会社 成膜装置、成膜方法およびそれを用いた電子放出素子、電子源、画像形成装置の製造方法
US20040188240A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Process for in-situ nitridation of salicides
WO2006003962A1 (ja) * 2004-07-02 2006-01-12 Ulvac, Inc. エッチング方法及び装置
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US7473623B2 (en) * 2006-06-30 2009-01-06 Advanced Micro Devices, Inc. Providing stress uniformity in a semiconductor device
JP2008047620A (ja) * 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297830A (ja) * 2002-04-03 2003-10-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005079254A (ja) * 2003-08-29 2005-03-24 Mitsubishi Heavy Ind Ltd 窒化シリコン膜の成膜方法

Also Published As

Publication number Publication date
KR20100098575A (ko) 2010-09-07
TW200947551A (en) 2009-11-16
US20100310791A1 (en) 2010-12-09
EP2242092A4 (en) 2014-02-05
EP2242092A1 (en) 2010-10-20
WO2009096259A1 (ja) 2009-08-06
JP5297048B2 (ja) 2013-09-25
JP2009177046A (ja) 2009-08-06
US20140057459A1 (en) 2014-02-27
TWI373807B (ko) 2012-10-01

Similar Documents

Publication Publication Date Title
KR101158377B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 시스템
TW201233840A (en) Polysilicon films by HDP-CVD
US20210025058A1 (en) Flowable film curing using h2 plasma
KR102538040B1 (ko) 박막 처리 프로세스
TW200822220A (en) Plasma processing method and plasma processing apparatus
KR20010072415A (ko) 기질상에 필름을 형성하는 방법 및 장치
JP2018006773A (ja) プラズマエッチング方法
KR101422981B1 (ko) 질화 규소막의 제조 방법 및 장치
CN106245002B (zh) 消除在原子层沉积中二氧化硅膜的接缝的系统和方法
JP5495940B2 (ja) 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
WO2011148830A1 (ja) 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US20230050255A1 (en) Seam removal in high aspect ratio gap-fill
CN114846578A (zh) 高硼含量硬掩模材料
CN114867890A (zh) 用于等离子体沉积的初始调制
CN117441224A (zh) 硅锗的热沉积
CN102820221A (zh) 低温二氧化硅薄膜的形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee