TW200947551A - Plasma processing method and plasma processing system - Google Patents

Plasma processing method and plasma processing system Download PDF

Info

Publication number
TW200947551A
TW200947551A TW098102593A TW98102593A TW200947551A TW 200947551 A TW200947551 A TW 200947551A TW 098102593 A TW098102593 A TW 098102593A TW 98102593 A TW98102593 A TW 98102593A TW 200947551 A TW200947551 A TW 200947551A
Authority
TW
Taiwan
Prior art keywords
plasma processing
amount
film
compressive stress
substrate
Prior art date
Application number
TW098102593A
Other languages
English (en)
Other versions
TWI373807B (zh
Inventor
Tadashi Shimazu
Seiji Nishikawa
Hidetaka Kafuku
Original Assignee
Mitsubishi Heavy Ind Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Heavy Ind Ltd filed Critical Mitsubishi Heavy Ind Ltd
Publication of TW200947551A publication Critical patent/TW200947551A/zh
Application granted granted Critical
Publication of TWI373807B publication Critical patent/TWI373807B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

200947551 六、發明說明 【發明所屬之技術領域】 本發明,係有關於電漿處理方法及電漿處理裝置。 【先前技術】 近年來,伴隨著半導體元件之細微化,將SiN膜埋入 成膜至高縱橫比之微小孔(例如,孔徑未滿Φ 1微米,且 縱橫比爲1以上之孔)中的需求係增加。爲了藉由電漿 CVD裝置來進行SiN膜之埋入成膜,係有需要將偏壓功率 增大。 此係因爲,在未施加有偏壓功率、或是施加之功率爲 少的成膜中,由於成膜前驅物之入射角度,相較於孔之底 部,在開口部會堆積更多的膜,並將孔開口部堵塞,而在 內部產生空孔,但是,藉由將偏壓功率增加,由於係同時 進行成膜與濺鍍,並使開口部之堆積被抑制,因此,孔底 部或內部之成膜係進行,而能夠進行不存在有空孔之埋入 成膜之故。在下述非專利文獻1以及下述非專利文獻2中 ,係揭示有此種電漿處理的其中一例。 〔非專利文獻1〕 白藤立,“電漿CVD之氣相•表面反應” ’〔online 〕、〔平成20年1月16日檢索〕、網際網路〈URL: http://shira.iic.kyoto-u.ac.jp/2006-09-02-PECVD-Lecture. pdf〉 〔非專利文獻2〕 -4- 200947551 C.W. Pearce ' R. F. Fetcho ' M. D. Gross ' R. F. Koefer 、 R. A. Pudliner 、 “Characteristics of silicon nitride deposited by plasma -enhanced chemical vapor deposition using a dual frequency radio- frequency source”J· Appl. Phys.、1 992 年 2 月 15 日、Vol.71、No.4 、p.1838-1841 【發明內容】 〔發明所欲解決之課題〕 然而,如同在上述非專利文獻1之Fig.31中所記載一 般,在先前技術之電槳處理方法中,若是將偏壓功率增加 ,貝!1 SiN膜之Si-H/N-H結合量係減少,伴隨於此,壓縮 應力會增加,因此,係存在著以下問題,亦即是,此壓縮 應力之增大所致的膜剝離之產生,會成爲偏壓功率施加所 致之SiN膜的埋入成膜之障礙。 由於上述事態,本發明,係以提供一種:對偏壓功率 施加所致之壓縮應力的增加以及S i - H/N - Η結合之減少作 抑制,並能夠進行SiN膜之埋入成膜的電漿處理方法以及 電漿處理裝置爲目的。 〔用以解決課題之手段〕 爲了解決上述之課題,第1發明之電漿處理方法,係 爲藉由含有矽以及氫之原料氣體與含有氮之氣體(例如, 氮氣、氨氣等)的電漿,來對於電漿處理對象之基板而使 -5- 200947551 氮化矽膜成長之電漿處理方法,其特徵爲:藉由將使離子 入射至前述基板處之偏壓功率設爲臨限値以上’而使前述 氮化矽膜中之Si-H結合量增加,並使前述氮化矽膜之壓 縮應力降低。 爲了解決上述課題之第2發明的電漿處理方法,係在 第1發明之電漿處理方法中,具備有以下特徵:藉由將用 以產生電漿而施加之RF功率減少,來使Si-H結合量增加 ,並使壓縮應力降低。 爲了解決上述課題之第3發明的電漿處理方法,係在 第1發明之電漿處理方法中,具備有以下特徵:藉由將壓 力提高,來使Si-H結合量增加,並使壓縮應力降低。 爲了解決上述課題之第4發明的電漿處理方法,係在 第1發明之電漿處理方法中,具備有以下特徵:藉由使含 有氮之氣體的供給量增加,來使Si-H結合量增加,並使 壓縮應力降低。 爲了解決上述課題之第5發明的電漿處理方法,係在 第1發明之電漿處理方法中,具備有以下特徵:藉由使電 漿處理溫度降低,來使Si-H結合量增加,並使壓縮應力 降低。 爲了解決上述課題之第6發明的電漿處理方法,係在 第1發明之電漿處理方法中,具備有以下特徵:前述臨限 値,在200mm晶圓的情況時,係設爲1.2kW。 爲了解決上述課題之第7發明的電漿處理裝置,其特 徵爲,具備有:氣體供給量控制手段’係對於供給至真空 -6- 200947551 容器之內部的含有矽以及氫之原料氣體與含有氮之氣體的 氣體供給量作控制;和壓力控制手段,係對前述真空容器 之內部的壓力作控制;和電漿產生手段,係對前述真空容 器之內部的前述原料氣體與前述氮氣施加RF功率,而使 電漿產生;和基板保持手段,係在前述真空容器之內部, 將成爲電漿處理對象之基板作保持;和溫度控制手段,係 對前述基板之電漿處理時的溫度作控制;和偏壓功率施加 手段,係對於前述基板施加偏壓功率;和參數控制手段, 係藉由將使離子入射至前述基板處之偏壓功率設爲臨限値 以上,而使Si-H結合量增加,並使壓縮應力降低。 〔發明之效果〕 若依據本發明,則在藉由含有矽以及氫之原料氣體與 含有氮之氣體的電漿,來對於電漿處理對象之基板而使氮 化矽膜成長之電漿處理方法中,藉由使氮化矽膜中之Si-H 結合量增加,來使氮化矽膜之壓縮應力降低,藉由此,由 於能夠抑制偏壓功率施加時之SiN膜的壓縮應力增大所致 之膜剝離,因此,能夠使偏壓功率施加所致之SiN膜的埋 入成膜成爲可能。 【實施方式】 以下,使用圖面,針對本發明之電漿處理方法及電漿 處理裝置之其中一種實施型態作說明。 圖1,係爲本發明之其中一種實施型態的電漿處理裝 200947551 置之構成圖。如圖1中所示一般,電漿處理裝置1,係具 備有能夠維持高真空度之真空容器10。此真空容器10’ \ 係由筒狀容器11與頂板12所成,並藉由在筒狀容器11 - 之上部安裝頂板1 2,而形成從外氣而被密閉之空間。 在真空容器1〇中,係被設置有將真空容器1〇之內部 設爲真空狀態的真空裝置13。在頂板12之上部,係被設 置有使電漿14產生之RF天線15。在此RF天線15處, ^ 係經由整合器16而被連接有身爲高頻電源之RF電源17 。亦即是,從RF電源17所被供給之RF功率,係藉由RF 天線1 5而被供給至電漿1 4處。 在筒狀容器1 1之側壁的上部,係被設置有將成爲所 成膜之膜的原料之原料氣體供給至真空容器10內的原料 氣體供給管18。在原料氣體供給管18處,係被設置有對 原料氣體之供給量作控制的原料氣體供給量控制閥1 8a。 在本實施型態中,作爲原料氣體,係供給SiH4。在筒狀容 φ 器11之側壁的上部,係被設置有將N2氣體供給至真空容 器10內的N2氣體供給管19。在N2氣體供給管2處,係 被設置有對N2氣體之供給量作控制的n2氣體供給量控制 - 閥19a。在筒狀容器11之側壁的上部,係被設置有將Ar . 氣體供給至真空容器1〇內的Ar氣體供給管20。在Ar氣 體供給管20處,係被設置有對Ar氣體之供給量作控制的 Ar氣體供給量控制閥20a。藉由此些,在真空容器1〇之 內部上方’係成爲產生有SiH4、N2以及Ar之電漿14。 在筒狀容器11內之下方,係被設置有將身爲成膜對 -8 -
200947551 象之基板21作保持的基板支持台22。此基 係藉由將基板21作保持之基板保持部23、 持部23作支持之支持軸24所構成。在基| 內部,係被設置有用以加熱之加熱器25, 係藉由加熱器控制裝置26而使溫度被調整 夠對電漿處理中之基板21的溫度作控制。 在基板保持部23處,係以能夠對基板 功率的方式,而經由電容器27以及整合器 偏壓電源29。藉由此,能夠從電漿14中而 基板21之表面處。進而,在基板保持部23 藉由靜電力來將基板21作保持的方式,而 電源3 0。以不使RF電源1 7或是偏壓電源 靜電電源30而繞入的方式,而經由低通濾 )來連接於基板保持部22處。 又,係被設置有可對偏壓電源29之偏j 電源17之RF功率、和真空裝置13之壓尤 制裝置26、和原料氣體供給閥1 8a、n2氣體 及Ar氣體供給閥20a之氣體供給量分別作 制裝置3 2。另外,圖1中之一點鍊線,係竹 控制裝置而對偏壓電源29、RF電源17、真 熱器控制裝置26、原料氣體供給閥18a、: 19a以及Ar氣體供給閥2〇a送訊控制訊號之 在上述之本實施型態的電漿處理裝置中 控制裝置32來對偏壓功率、rf功率、壓丈 板支持台22, 和將此基板保 泛保持部23之 比加熱器2 5, 。藉由此,能 2 1而施加偏壓 28而被連接有 「將離子拉入至 處,係以能夠 被連接有靜電 29之功率對於 波器3 1 ( LPF 藍功率、和RF f、和加熱器控 丨供給閥1 9 a以 ;控制的參數控 :表用以從參數 空裝置13、加 N2氣體供給閥 .訊號線。 ,藉由以參數 ί、成膜溫度以
200947551 及氣體供給量基於後述之條件來作控制’而成爲 偏壓功率施加所致之埋入成膜。而後’在本實施 #數控制裝置3 2,係特別是以藉由將使離子入 21處之偏壓功率設爲臨限値以上,來使Si-H結 並使壓縮應力降低的方式,而進行控制。另外’ 將使離子入射至基板21處之偏壓功率設爲臨限 而能夠使Si-H結合量增加並使壓縮應力降低一寒 下作詳細說明。 於此,首先針對在本實施型態之電漿處理裝圈 施的各種實驗之實驗結果作說明。 作爲第1實驗,進行了對在SiN膜成膜時之4 壓功率作了變化時的SiN膜之壓縮應力、Si-H結爸 N-H結合量作測定之實驗。於此,係將成膜處理婆 板21 (參考圖1 )設爲200mm直徑之晶圓。作爲 成膜時之製程條件,RF功率(13.56MHz )係設爲 SiH4和N2以及Ar之流量,係分別設爲50sccm, 設爲25mTorr,成膜溫度係設爲250°C,而所成聘 膜的膜厚,係設爲3 5 0nm。 展示在上述之製程條件中,對於使偏壓功率 )作了變化時之壓縮應力作測定後的結果。表1, 示使偏壓功率作變化時之對SiN膜的壓縮應力作領 驗之實驗結果的表。又’圖2係爲將表1中所示之 果作爲圖表而展不之圖。 夠進行 態中之 至基板 量增加 對藉由 以上, ,於以 中所實 而使偏 量以及 象之基 SiN膜 2kW, 壓力係 之 SiN (4MHz 係爲展 定的實 實驗結 -10- 200947551 [表1〕 偏壓功率〔kW〕I 0 0.1 1.4 1.6 1.8 2.4 壓縮應力〔Mpa〕 I —44 -3215 -307 —274 -259 -225 如圖2中所示一般’直到偏壓功率成爲〇.lkw爲止, 係與先前技術中同樣的由於偏壓功率之施加’而使壓縮應 力增加(O.lkW爲極大)’但是’可以得知’若是更進而 增大偏壓功率,則壓縮應力係變小。又’若是在各偏壓功 〇 率中對SiN膜之狀態作了觀察,則在1 . 6kW以下,係產生 有膜之剝離,但是,在1 · 8k W以上,則並未產生膜之剝離 。由此,可以得知,爲了抑制膜剝離之產生,係有必要將 偏壓功率設定爲某一臨限値以上。因此,爲了將偏壓功率 設爲臨限値以上,係有必要對於基板2 1 (參考圖1 )而施 加每單位面積5.7W/cin2以上(在200mm晶圓下,係爲 1.8kW )之偏壓功率。 展示在上述之製程條件中,對於使偏壓功率(4MHz ® )作了變化時之Si-H結合量以及N-H結合量以FTIR (傅 立葉變換紅外分光光度計)而作了測定後的結果。表2, 係爲展示使偏壓功率作變化時之對Si-H結合量以及N-H 結合量作測定的實驗之實驗結果的表。又,圖3係爲將表 2中所示之使偏壓功率作變化時之si-H/N-H量的測定結果 作爲圖表而展示之圖。 -11 - 200947551 〔表2〕 偏壓功率〔kW〕 0 0.1 1.2 1.8 2.4 Si-H結合量〔xl021 個/cm3〕 0.9 0.78 5.7 12.2 15.8 N-H 結合量〔xlO21 個/cm3〕 19.5 31 11.0 12.0 12.9 Si-H/N-H 0.05 0.025 0.52 1.0 1.2 如圖3中所示一般,在直到偏壓功率成爲〇.lkW爲止 ,係與先前技術同樣的,Si-H/N-H係降低’但是’可以得 知,若是更進而增大偏壓功率,則Si-H結合量係與偏壓 功率成比例而增大,而Si-H/N-H量係增加。 針對在SiN膜之成膜時,將偏壓功率設爲了 〇.5kW、 1.6kW、2.4kW的情況時所成膜之SiN膜的狀態作說明。 圖4,係爲展示將偏壓功率設爲了 0.5kW而成膜了的SiN 膜之顯微鏡照片的圖,圖5,係爲展示將偏壓功率設爲了 1.6k W而成膜了的SiN膜之顯微鏡照片的圖,圖6,係爲 展示將偏壓功率設爲了 2.4kW而成膜了的SiN膜之顯微鏡 照片的圖。 如圖4中所示一般,可以得知,當將偏壓功率設爲了 0.5kW的情況時,係涵蓋基板21 (參考圖1)之前面而產 生有膜剝離。另外,在圖4中之成爲模樣一般的部分,係 爲產生有膜剝離之部分。又,如圖5中所示一般,可以得 知,當將偏壓功率設爲了 1.6kW的情況時,係產生有微小 的膜剝離。另外,在圖5中之以虛線所包圍的成爲白點一 般之部分,係爲產生有膜剝離之部分。 然而’如圖6中所示一般,可以得知,當將偏壓功率 -12- 200947551 設爲了 2.4kW的情況時,係不會產生膜剝離。如此這般, 可以得知,直到偏壓功率爲1 . 6k W程度爲止,係會產生膜 剝離’而* 2.4kW左右,係不會產生膜剝離。 由第1實驗之結果,可以得知,若是將偏壓功率設爲 較臨限値爲更大,則SiN膜之壓縮應力係降低,而Si-H/N-H量係增加。可以想見,此係因爲,直到臨限値爲止 ,偏壓功率(離子衝擊)所致之膜密度提升、壓縮應力之 增加係進行,而若是施加其以上之偏壓功率,則由於氫之 拉入效果,壓縮應力係降低。亦即是,在先前技術中,就 算是欲將偏壓功率增大而進行SiN埋入成膜,亦有著由於 壓縮應力之增加而造成膜剝離的問題,但是,藉由本發明 ,則發現了:藉由將偏壓功率控制爲臨限値以上,藉由氫 之拉入效果,而降低壓縮應力,故而,成爲能夠進行埋入 成膜。 作爲第2實驗,進行了在成膜SiN膜時,當將所成膜 之SiN膜的膜厚設爲了 3 50nm與lOOOOnm的情況時之壓 縮應力作測定的實驗。於此,係將成膜處理對象之基板2 1 (參考圖1 )設爲200mm直徑之晶圓。作爲SiN膜成膜時 之製程條件,偏壓功率(4MHz)係設爲2_4kW,RF功率 (13.56MHz)係設爲2kW,SiH4和N2以及Ar之流量, 係分別設爲50sccm,壓力係設爲25mT〇rr,成膜溫度係設 爲 250〇C。 表3,係爲展示將SiN膜之膜厚設定爲3 5 Onm與 lOOOOnm時的壓縮應力作測定的實驗之實驗結果的表。另 -13- 200947551 外,圖7,係爲展示將表3中所展示之使SiN膜之膜厚變 化爲350nm與lOOOOnm時的壓縮應力之測定結果作爲圖 表而顯示之p。 〔表3〕 膜厚〔nm〕 350 10000 壓縮應力〔Mpa〕 —225 -164 ❹ 如圖7中所示一般,可以得知,若是SiN膜之膜厚增 加,則SiN膜之壓縮應力係變小。此係因爲,若是膜厚變 厚,則SiN膜全體之Si-H結合量係增加之故。故而,藉 由對膜厚作控制,能夠控制Si-H結合量,因此,能夠控 制SiN膜之壓縮應力。另外,由第2實驗之結果,可以得 知,就算是對於1 μιη以上之深度的孔,亦能夠進行埋入成 膜。 作爲第3實驗,進行了對在SiN膜成膜時之中而藉由 ® 使SiH4之流量作了變化來使成膜速率變化時的SiN膜之 壓縮應力作測定之實驗。於此,係將成膜處理對象之基板 21 (參考圖1 )設爲200mm直徑之晶圓。作爲SiN膜成膜 時之製程條件,偏壓功率(4MHz )係爲2.4kW,RF功率 (13.5 6MHz )係設爲2kW,SiH4和N2以及Ar之流量, 係分別設爲50sccm,壓力係設爲25mTorr,成膜溫度係設 爲25(TC,而所成膜之SiN膜的膜厚,係設爲3 50nm。 表4,係爲展示使成膜速率作變化時之對SiN膜的壓 縮應力作測定的實驗之實驗結果的表。又,圖8係爲將表 -14- 200947551 4中所示之使成膜功率作變化時之SiN膜之壓縮應力的測 定結果作爲圖表而展示之圖。
SiH4流量〔seem〕| 50 80 150 成膜速率〔nm/sec〕 1.6 3.7 8.8 壓縮應力〔Mpa〕 -225 -408 -642 Q 如圖8中所示一般,可以得知,若是成膜速率變大, 貝(J siN膜之壓縮應力亦變大。因此,藉由將成膜速率縮小 ,就算是在所施加之偏壓功率爲較上述之偏壓功率的臨限 値更低的情況時,亦能夠抑制膜剝離的產生。 作爲第4實驗,進行了對在SiN膜成膜時之中而使成 膜溫度作了變化時的SiN膜中之Si-H結合量作測定之實 驗。於此,係將成膜處理對象之基板21(參考圖1)設爲 2 0 0mm直徑之晶圓。作爲SiN膜成膜時之製程條件,偏壓 〇 功率(4MHz)係爲2.4kW,RF功率(13.56MHz)係設爲 2kW,SiH4和N2以及Ar之流量,係分別設爲50sccm,壓 力係設爲25mTorr,所成膜之SiN膜的膜厚,係設爲 3 5 Onm 〇 表5,係爲展示使成膜溫度作變化時之對siN膜中的
Si-H結合量作測定的實驗之實驗結果的表。 -15- 200947551 成膜臟rc〕 250 430 Si-H結合量〔Χίο21 個/cm3〕 15.8 7.5 N-H 結合量〔xlO21 個/cm3〕 12.9 10.1 Si-H/N-H 1.2 0.74 如表5中所不一般’可以得知’若是成膜溫度變低, 則Si-H結合量係增大。故而’可以得知,藉由對成膜溫 度作控制,能夠控制Si-H結合量,因此,能夠控制siN 膜之壓縮應力。亦即是,藉由將成膜溫度降低,能夠增大 Si-H結合量,因此,能夠降低SiN膜之壓縮應力。 作爲第5實驗,進行了對在SiN膜成膜時之中而使相 對於N2之流量的SiH4之流量(SiH4流量/N2流量)作了 變化時的siN膜中之Si-H結合量作測定之實驗。於此, 係將成膜處理對象之基板21(參考圖1)設爲2〇Omm直 徑之晶圓。作爲SiN膜成膜時之製程條件’偏壓功率( 4MHz )係爲 2.4kW’ RF 功率(13.56MHz)係設爲 2kW, Ar之流量係設爲50sccm,壓力係設爲25mTorr’成膜溫 度係設爲25 0 °C ’而所成膜之SiN膜的膜厚’係設爲 3 5 Onm ° 表6,係爲展示使SiH4流量/N 2流量作了變化時之對 SiN膜中的Si_H結合量作測定的實驗之實驗結果的表。 -16- 200947551
SiH4流量/N2流量 I 0.5 1.0 Si-H結合量〔xlO2丨個/cm3〕 5.1 15.8 N-H 結合量 J>1021 個/cm3〕 17.1 12-9 Si-H/N-H 1 0.3 1.2 如表6中所示一般,可以得知,若是SiH4流量/N2流 量變大,則Si-H結合量係增大。故而,可以得知,藉由 對SiH4流量/N2流量作控制,能夠控制Si-H結合量’因 此,能夠控制SiN膜之壓縮應力。亦即是,藉由將SiH4 流量/N2流量變大,能夠增大Si-H結合量,因此,能夠降 低SiN膜之壓縮應力。 作爲第6實驗,進行了對在SiN膜成膜時之中而使 RF功率作了變化時的SiN膜中之Si-H結合量作測定之實 驗。於此,係將成膜處理對象之基板21(參考圖1)設爲 200mm直徑之晶圓。作爲SiN膜成膜時之製程條件,偏壓 功率(4MHz )係設爲2.4kW,SiH4和N2以及Ar之流量 ,係分別設爲50sccm,壓力係設爲25mTorr,成膜溫度係 設爲2 50°C,而所成膜之SiN膜的膜厚,係設爲3 5 0nn^ 表7,係爲展示使RF功率作變化時之對SiN膜中的 Si-H結合量作測定的實驗之實驗結果的表。 〔表7〕 RF功率〔kW〕 3.0 4.0 Si-H結合量〔xl021 個/cm3〕 17.1 13.9 N-H 結合量〔xlO21 個/cm3〕 6.7 11.4 Si-H/N-H 2.6 1.2 -17- 200947551 如表7中所示一般,可以得知,若是RF功率變小, 則Si-H結合量係增大。故而,可以得知,藉由對RF功率 作控制,能夠控制Si-H結合量,因此,能夠控制SiN膜 之壓縮應力。亦即是,藉由將RF功率縮小,能夠增大Si-H結合量,因此,能夠降低SiN膜之壓縮應力。 作爲第7實驗,進行了對在SiN膜成膜時之中而使壓 力作了變化時的SiN膜中之Si-H結合量作測定之實驗。 於此,係將成膜處理對象之基板21 (參考圖1)設爲 200mm直徑之晶圓。作爲SiN膜成膜時之製程條件,偏壓 功率(4MHz)係爲2.4kW,RF功率(13.56MHz)係設爲 2kW,SiH4和N2以及Ar之流量,係分別設爲5〇SCCm,成 膜溫度係設爲250 °C,所成膜之SiN膜的膜厚,係設爲 3 5 Onm ° 表8,係爲展示使壓力作變化時之對SiN膜中的Si-H 結合量作測定的實驗之實驗結果的表。 〔表8〕 壓力〔Pa〕 1.3 3.3 Si-H結合量〔xl021 個/cm3〕 8.9 15.8 N-H結合量〔xlO21 個/cm3〕 11.1 12.9 Si-H/N-H 0.8 1.2 如表8中所示一般’可以得知,若是壓力變高,則 S i - Η結合量係增大。故而’可以得知,藉由對壓力作控制 -18- 200947551 ,能夠控制Si-H結合量’因此,能夠控制SiN 應力。亦即是,藉由將壓力增高’能夠增大si_ ,因此,能夠降低S iN膜乏壓縮應力。 如同上述一般,由第1乃至第7實驗之結果 了:在施加有臨限値以上之偏壓功率的區域中,: 中之Si-H基的量(Si-H結合量)與SiN膜的壓 間,係存在有負的相關關係。因此,藉由以參數 32 (參考圖1)來對偏壓功率、RF功率、壓力、 體混合比以及成膜溫度作控制,能夠使SiN膜c 結合量增大,而降低SiN膜壓縮應力,藉由此, 功率施加所致之SiN膜的埋入成膜成爲可能。 具體而言,參數控制裝置32,作爲SiN膜 製程條件,當將RF功率(13.56MHz)設爲2kW 和N2以及 Ar之流量,分別設爲 50sccm,將 25mT〇rr,將成膜溫度設爲25 0°C,將所成膜之 膜厚設爲3 50nm的情況時,係將偏壓功率以對於 對象之基板21 (參考圖1)而對每單位面積施加 (在200mm晶圓的情況時,由於晶圓之半徑r== 圓之面積係成爲π r2= 3.14xl02cm2 = 314cm2,因 加於晶圓上之偏壓功率係成爲3 14cm2x5.7W/cm2 ,若是將此値之四捨五入至小數點第2位,則成 )以上的偏壓功率之方式來作控制。進而,當將 在成膜處理對象之基板21 (參考圖1)處而施加 積5.7kW/cm2以下之偏壓功率的情況時,係以將 膜之壓縮 Η結合量 ,係發現 在SiN膜 縮應力之 控制裝置 膜厚、氣 户之 Si-H 而使偏壓 成膜時之 ,將 SiH4 壓力設爲 SiN膜的 成膜處理 5.7W/cm2 1 0cm,晶 此,被施 =1.79kW 爲 1.8kW 偏壓功率 每單位面 RF功率 -19- 200947551 設爲較小,將壓力設爲較高,並將SiH4流量/N2流量設爲 較大,且將成膜溫度設爲較低的方式來作控制。 ' 作爲此偏壓功率施加所f(之SiN膜的埋入成膜之適用 • 目標,例如,係可列舉有:對於SiN膜之高折射率以及高 透過性所致的影像感測器(CCD/CMOS )用透鏡以及導波 路徑之適用、SiN膜之阻隔性所致的配線最終保護膜之適 用等。特別是,藉由對於偏壓功率、RF功率、壓力、膜 ^ 厚、氣體混合比以及成膜溫度之控制,由於係能夠使膜中 ❹ 之Si-H結合量增大,因此,在對於被週知有藉由將Si原 子之懸鍵藉由氫來作終端而降低暗電流的影像感測器之適 用中,係爲有效。 〔產業上之利用可能性〕 本發明,例如,係可利用在可進行偏壓功率施加所致 之SiN膜的埋入成膜之電漿處理方法以及電漿處理裝置中 ❿ 【圖式簡單說明】 〔圖1〕本發明之其中一種實施型態的電漿處理裝置 之構成圖。 〔圖2〕將使偏壓功率作變化時之對SiN膜的壓縮應 力作測定的實驗結果作爲圖表而展示之圖。 〔圖3〕將使偏壓功率作變化時之對Si-H/N-H量作測 定的實驗結果作爲圖表而展示之圖。 -20- 200947551 〔圖4〕展τρ:將偏壓功率設爲〇.5kW而成膜了的SiN 膜之顯微鏡照片的圖。 〔圖5〕展示將偏壓功率琴爲l.6kW而成膜了的SiN 膜之顯微鏡照片的圖。 〔圖6〕展示將偏壓功率設爲2.4kW而成膜了的SiN 膜之顯微鏡照片的圖。 〔圖7〕將使偏壓功率作變化時之對SiN膜的壓縮應 力作測定的實驗結果作爲圖表而展示之圖。 〔圖8〕將使偏壓功率作變化時之對SiN膜的壓縮應 力作測定的實驗結果作爲圖表而展示之圖。 【主要元件符號說明】 1 :電漿處理裝置 10 :真空容器 1 1 :筒狀容器 1 2 :頂板 13 :真空裝置 14 :電漿 H RF天線 16 :整合器 17 : RF電源 1 8 :原料氣體供給管 18a :原料氣體供給量控制閥 19 : 氣體供給管 -21 - 200947551 19a : N2氣體供給量控制閥 20 : Ar氣體供給管 20a : Ar氣體供給量控制閥 2 1 :基板 22 :基板支持台 2 3 :基板保持部 24 :支持軸
2 5 :加熱器 26 :加熱器控制裝置 27 :電容器 28 :整合器 2 9 :偏壓電源 3 0 :靜電電源 3 1 =低通濾波器 32 :參數控制裝置
-22

Claims (1)

  1. 200947551 七、申請專利範圍 1· 一種電漿處理方法,係爲藉由含有矽以及氫之原 ' 料氣體與含有氮之氣體的電漿,來對^於電漿處理對象之基 板而使氮化矽膜成長之電漿處理方法,其特徵爲: 藉由將使離子入射至前述基板處之偏壓功率設爲臨限 値以上,而使Si-H結合量增加,並使壓縮應力降低。 2.如申請專利範圍第1項所記載之電漿處理方法, 0 其中,係藉由將用以產生電漿而施加之RF功率減少,來 使Si-H結合量增加,並使壓縮應力降低。 3 .如申請專利範圍第1項所記載之電漿處理方法, 其中’係藉由將壓力提高,來使Si-H結合量增加,並使 壓縮應力降低。 4 .如申請專利範圍第1項所記載之電漿處理方法, 其中,係藉由將前述原料氣體與含有氮之氣體的供給量增 加,來使Si-H結合量增加,並使壓縮應力降低。 φ 5.如申請專利範圍第1項所記載之電漿處理方法, 其中,係藉由將電漿處理溫度降低,來使Si-H結合量增 力口,並使壓縮應力降低。 - 6 ·如申請專利範圍第1項所記載之電漿處理方法, 其中’前述臨限値,當200mm晶圓的情況時,係設爲 1.2kW。 7. —種電漿處理裝置,其特徵爲,具備有: 氣體供給量控制手段,係對於供給至真空容器之內部 的含有矽以及氫之原料氣體與含有氮之氣體的氣體供給量 -23- 200947551 作控制;和 壓力控制手段’係對前述真空容器之內部的壓力作控 ' 制;和 - 電漿產生手段,係對前述真空容器之內部的前述原料 氣體與前述氮氣施加RF功率,而使電漿產生;和 基板保持手段,係在前述真空容器之內部,將成爲電 漿處理對象之基板作保持;和 溫度控制手段,係對前述基板之電漿處理時的溫度作 控制;和 偏壓功率施加手段,係對於前述基板施加偏壓功率; 和 參數控制手段,係藉由將使離子入射至前述基板處之 偏壓功率設爲臨限値以上,而使Si-H結合量增加,並使 壓縮應力降低。 ❹ -24-
TW098102593A 2008-01-28 2009-01-22 Plasma processing method and plasma processing system TW200947551A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008015894A JP5297048B2 (ja) 2008-01-28 2008-01-28 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW200947551A true TW200947551A (en) 2009-11-16
TWI373807B TWI373807B (zh) 2012-10-01

Family

ID=40912612

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098102593A TW200947551A (en) 2008-01-28 2009-01-22 Plasma processing method and plasma processing system

Country Status (6)

Country Link
US (2) US20100310791A1 (zh)
EP (1) EP2242092A4 (zh)
JP (1) JP5297048B2 (zh)
KR (1) KR101158377B1 (zh)
TW (1) TW200947551A (zh)
WO (1) WO2009096259A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5069597B2 (ja) * 2008-03-27 2012-11-07 富士フイルム株式会社 ガスバリアフィルムの製造方法
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5495940B2 (ja) 2010-05-21 2014-05-21 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
JP5610850B2 (ja) 2010-05-28 2014-10-22 三菱重工業株式会社 窒化珪素膜の製造方法及び装置
JP2011249626A (ja) 2010-05-28 2011-12-08 Mitsubishi Heavy Ind Ltd 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8844793B2 (en) * 2010-11-05 2014-09-30 Raytheon Company Reducing formation of oxide on solder
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
JP2014060378A (ja) * 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
CN107665800B (zh) * 2016-07-28 2019-06-07 中微半导体设备(上海)股份有限公司 一种用于等离子处理器的射频电源控制装置及其控制方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN114072540A (zh) * 2019-09-20 2022-02-18 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
JPH04297033A (ja) * 1990-05-28 1992-10-21 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JPH08115912A (ja) * 1994-10-14 1996-05-07 Nippon Telegr & Teleph Corp <Ntt> 窒化ケイ素薄膜の作製方法
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JP3141805B2 (ja) * 1997-01-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
EP0977246A3 (en) * 1998-07-31 2005-11-09 Canon Kabushiki Kaisha Production process of semiconductor layer, fabrication process of photovoltaic cell and production apparatus of semiconductor layer
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6667248B2 (en) * 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US20030091739A1 (en) * 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP2003297830A (ja) * 2002-04-03 2003-10-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP3840147B2 (ja) * 2002-06-21 2006-11-01 キヤノン株式会社 成膜装置、成膜方法およびそれを用いた電子放出素子、電子源、画像形成装置の製造方法
US20040188240A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Process for in-situ nitridation of salicides
JP3881973B2 (ja) * 2003-08-29 2007-02-14 三菱重工業株式会社 窒化シリコン膜の成膜方法
EP1793418B1 (en) * 2004-07-02 2013-06-12 Ulvac, Inc. Etching method and system
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US7473623B2 (en) * 2006-06-30 2009-01-06 Advanced Micro Devices, Inc. Providing stress uniformity in a semiconductor device
JP2008047620A (ja) * 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy

Also Published As

Publication number Publication date
US20100310791A1 (en) 2010-12-09
KR20100098575A (ko) 2010-09-07
KR101158377B1 (ko) 2012-06-25
EP2242092A1 (en) 2010-10-20
TWI373807B (zh) 2012-10-01
US20140057459A1 (en) 2014-02-27
JP2009177046A (ja) 2009-08-06
EP2242092A4 (en) 2014-02-05
JP5297048B2 (ja) 2013-09-25
WO2009096259A1 (ja) 2009-08-06

Similar Documents

Publication Publication Date Title
TW200947551A (en) Plasma processing method and plasma processing system
TWI358088B (zh)
US20090242511A1 (en) Seasoning method for film-forming apparatus
TW200537571A (en) Forming method of barrier film, and forming method of electrode film
CN103540908A (zh) 沉积二氧化硅薄膜的方法
TW201423841A (zh) 沉積非晶質矽薄膜的方法
KR101422981B1 (ko) 질화 규소막의 제조 방법 및 장치
WO2004055234A1 (ja) 成膜方法
TWI564419B (zh) Film forming apparatus and film forming method
JP5069598B2 (ja) ガスバリアフィルムの製造方法
CN110835748B (zh) 沉积氮化硅的方法和设备
KR101213035B1 (ko) 막 형성재료 및 막 형성방법
Fouad et al. Formation of titanium silicide thin films on Si (100) substrate by RF plasma CVD
TW201207146A (en) Method for forming silicon film having microcrystal structure
TW578236B (en) Method of forming nitride films
JPH08162445A (ja) 成膜方法及び成膜装置
JP2017513209A (ja) ポリシリコーン膜の形成方法
Ko et al. Insertion of nanocrystalline diamond film and the addition of hydrogen gas during deposition for adhesion improvement of cubic boron nitride thin film deposited by unbalanced magnetron sputtering method
TWI422706B (zh) A method of depositing a titanium dioxide thin film by pulsed plasma
Zhou et al. Properties of Silicon Nitride by Room‐Temperature Inductively Coupled Plasma Deposition
KR100689655B1 (ko) 테트라에틸올쏘실리케이트를 이용한 플라즈마 씨브이디증착 방법
TW201324612A (zh) 電漿處理方法
TW202124755A (zh) Dlc膜之成膜裝置及成膜方法
KR20100007518A (ko) 증착 장치 및 이를 이용한 박막 증착 방법
JP2008047929A (ja) バリア膜製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees