KR100415226B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100415226B1
KR100415226B1 KR1019970040090A KR19970040090A KR100415226B1 KR 100415226 B1 KR100415226 B1 KR 100415226B1 KR 1019970040090 A KR1019970040090 A KR 1019970040090A KR 19970040090 A KR19970040090 A KR 19970040090A KR 100415226 B1 KR100415226 B1 KR 100415226B1
Authority
KR
South Korea
Prior art keywords
frequency
plasma
bias
pulse
high frequency
Prior art date
Application number
KR1019970040090A
Other languages
English (en)
Other versions
KR19980018889A (ko
Inventor
치시오 코시미즈
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR19980018889A publication Critical patent/KR19980018889A/ko
Application granted granted Critical
Publication of KR100415226B1 publication Critical patent/KR100415226B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Abstract

본 발명은 펄스 플라즈마에 의한 처리를 최적화하는 것에 관한 것이다.
본 발명은 플라즈마 여기용의 고주파 전력 펄스(도 4a)의 온 타이밍(on-timing)에 맞춰서, 각 펄스에 있어서의 상승 초기의 주파수를 정상시보다도 높게 쉬프트시킨다(도 4b). 이에 따라, 처리실내에 플라즈마가 없는 상태, 혹은 희박한 상태하에서의 높은 공진 주파수에서, 고주파 전력 펄스는 정합(整合)되어져서 펄스 플라즈마의 착화(着火) 성능은 높아진다.
본 발명은, 피처리체의 처리면상에서의 전위의 최대값 또는 최소값, 혹은 평균값이 소정값 이하로 되도록, 바이어스용의 고주파 전력 펄스를 제어한다. 이 제어의 수단으로서는, 바이어스용의 고주파 전력 펄스의 출력 파형을 제어하는 수단 및 바이어스용의 고주파 전력 펄스의 주파수를 제어하는 수단 등이 있다. 이 제어에 의해, 피처리체에 이온이 충돌하는 것에 의한, 피처리체로의 손상(damage)이 경감되어 균일한 플라즈마 처리가 실행된다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 반도체 웨이퍼나 LCD용 기판 등의 피처리체에 대하여 에칭 처리, 애싱(ashing) 처리 혹은 CVD 처리 등의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 관한 것이다.
종래부터, 반도체 웨이퍼나 LCD용 기판 등의 피처리체에 대하여 에칭 처리 등의 플라즈마 처리를 실행하는 장치로서는, 평행 평판형 플라즈마 처리 장치, ECR 플라즈마 처리 장치 및 유도 결합형 플라즈마 처리 장치 등의 여러가지의 플라즈마 처리 장치가 알려져 있다.
예를 들어, 평행 평판형 플라즈마 처리 장치에 대하여 설명한다. 평행 평판형 플라즈마 처리 장치는 기밀한 처리 용기내에 마련된 처리실 및 처리실내에 대향 배치된 상부 전극과 하부 전극을 겸한 탑재대, 상부 전극과 하부 전극을 겸한탑재대 중 어느 한쪽에 일정 주파수의 고주파 전력을 인가하는 전원을 구비하고 있고, 처리실내에 도입되는 소정의 처리 가스는 고주파 전력에 의해 플라즈마화되며, 해당 플라즈마에 의해 피처리체는 소정의 플라즈마 처리가 실시된다.
이 플라즈마 처리 장치에 있어서, 일정 주파수의 고주파 전력이 상부 전극과 하부 전극을 겸한 탑재대 중 어느 한쪽의 전극에 연속하여 인가되면, 처리실내의 전자(電子) 온도가 상승하여, 처리 가스의 해리가 과도하게 진행된다. 이 결과, 선택비 및 홀내의 에칭율이 저하한다고 하는 문제가 발생한다. 종래의 처리 장치에서는, 선택비 혹은 홀내의 에칭율의 향상에 대해서는 자연히 한계가 있다. 최근의 반도체 장치의 초고집적화 및 소형화에 대응하기 위해서, 초미세 가공(예컨대, 고 애스펙트비(aspect ratio)의 홀 가공 등)을 가능하게 하는 기술이 요구되고 있고, 이 요구에 대응하기 위해서, 상기 한계를 극복하는 것이 필요로 되어 있다.
개량된 장치는 고주파 펄스열(고주파 신호에 온-오프 제어, 하이 레벨-로우 레벨 제어 중 어느 하나를 실시함으로써 형성되는 펄스열이며, 그 펄스열 중의 각 펄스는 고주파 성분을 포함함)을 형성하고, 이 고주파 펄스열을 증폭하여 고주파 전력 펄스열을 형성하며, 해당 고주파 전력 펄스열을 해당 상부 전극과 하부 전극을 겸한 탑재대 중 어느 한쪽의 전극에 인가하여, 펄스 플라즈마(간헐적으로 형성된 플라즈마)를 형성하고 있다.
이 장치는 간헐적인 펄스 플라즈마를 처리실내에 형성하여, 처리실내의 전자 온도의 상승을 억제하면서, 또한 처리 가스를 임의의 속도로 해리할 수 있으므로, 선택비 및 홀내의 에칭율을 향상시킬 수 있다.
고주파 전력이 오프(off)인 경우에는, 해당 상부 전극과 하부 전극을 겸한 탑재대 사이에 플라즈마가 존재하지 않는 기간이 발생한다. 또한, 고주파 전력이 로우 레벨인 경우나 애프터 글로우(after glow) 방전인 경우에는, 해당 상부 전극과 하부 전극을 겸한 탑재대 사이의 플라즈마 밀도가 희박한 기간이 발생한다. 이들 기간 동안에는, 해당 상부 전극과 하부 전극을 겸한 탑재대 사이의 캐패시턴스는 플라즈마 발생시에 비해 작기 때문에, 플라즈마 발생시와는 공진 조건이 상위하다. 이 때문에, 일정 주파수의 고주파 전력 펄스열이 해당 상부 전극 혹은 하부 전극을 겸한 탑재대에 인가되는 종래의 장치에서는, 비(非)매칭되어 상기 기간 동안에 플라즈마를 발생시키는 효율이 저하되고, 플라즈마 처리의 비율이 저하된다는 과제가 있었다.
해당 고주파 전력 펄스열의 각 펄스의 상승 직후 혹은 오프인 기간 동안에는, 해당 상부 전극과 하부 전극을 겸한 탑재대 사이의 임피던스가 급격히 변화한다. 종래의 매칭 회로는, 이 임피던스의 급격한 변화에 추종할 수 없어서, 고주파 전력의 해당 전극으로의 입력에 의해 발생하는 반사파를 완화하는 것이 곤란하다는 과제도 있었다.
종래의 장치에서는, 고주파 전력 펄스열의 각 펄스의 상승 직후의 기간 동안에, 전자 온도가 급격히 상승하여 피처리체가 손상을 받을 우려도 있었다.
피처리체를 탑재한 하부 전극을 겸한 탑재대에, 바이어스용의 고주파 전력 펄스열을 인가함으로써, 펄스 플라즈마가 균일하게 피처리체에 인입(引入)되도록 한 플라즈마 처리 장치가 제안되어 있다. 이 플라즈마 처리 장치에 있어서, 플라즈마 발생용의 고주파 전력 펄스열의 각 펄스가 상승할 때 혹은 오프로 되어 있을 때에, 피처리체에 인가되는 고주파 전력의 최대 전압값, 최소 전압값(Vpp) 및 피처리체에 인가되는 고주파 전력의 평균 전압값(VDC)은 급격히 변화하여, 예를 들어, 반도체 웨이퍼의 게이트 산화막이 파괴되는 등, 피처리체가 손상을 받는다고 하는 과제도 있었다.
본 발명의 목적은 고주파 신호를 온-오프 제어하거나, 하이 레벨-로우 레벨 제어함으로써 고주파 펄스열을 형성하고, 이 고주파 펄스열을 증폭하여 고주파 전력 펄스열을 형성하며, 해당 고주파 전력 펄스열을 플라즈마원에 인가하여, 간헐적인 펄스 플라즈마를 형성하는, 종래의 플라즈마 처리 장치를 개량하는 데 있다.
또한, 본 발명의 목적은 종래의 플라즈마 처리 장치에 있어서의 상기한 바와 같은 과제를 해결·경감하는 데 있다.
또, 본 발명의 목적은 특히, 종래의 플라즈마 처리 장치에 있어서의 플라즈마의 착화 및 여기를 원활하게 실시하는 데 있다.
또한, 본 발명의 목적은 플라즈마 처리의 비율이 저하되는 과제를 해결하는 데 있다.
또한, 본 발명의 목적은 플라즈마 처리에 있어서, 피처리체가 손상을 받는 과제를 해결하는 데 있다.
도 1은 본 발명을 적용할 수 있는 플라즈마 처리 장치의 일례를 도시하는 개략적인 단면도.
도 2는 도 1에 도시한 플라즈마 처리 장치의 모식적인 회로도.
도 3a 및 도 3b는 플라즈마 여기용 고주파 펄스의 온 타이밍과 그 반사파와의 관계를 도시하는 타이밍 차트.
도 4a 및 도 4b는 본 발명을 적용한 플라즈마 처리 장치에 있어서, 고주파 전원으로부터의 고주파 펄스열(列)의 각 펄스의 주파수가 제어되는 형태를 나타내는 타이밍 차트.
도 5a, 도 5b, 도 5c, 도 5d 및 도 5e는 평행 평판형 플라즈마 처리 장치에 있어서의 하부 전극을 겸한 탑재대에 일정한 바이어스용 고주파 전력을 인가한 경우에 있어서, 플라즈마의 전자 온도, 전자 밀도 및 피처리체의 처리면상에서의 전위의 최대값과 최소값(Vpp)의 상황을 나타내는 타이밍 차트.
도 6a, 도 6b, 도 6c 및 도 6d는 본 발명에 근거하는 플라즈마 처리 장치에 의해 바이어스용 고주파 전력 펄스열의 출력 및 주파수가 제어되는 형태를 나타내는 타이밍 차트.
도 7은 2개의 플라즈마원(源)을 갖는 평행 평판형 플라즈마 처리 장치의 모식적인 도면.
도면의 주요부분에 대한 부호의 설명
120 : 가스원125 : 매칭 회로126 : 증폭기
129 : 제어기130 : 증폭기132 : 매칭 회로
청구항 1 내지 청구항 23에 기재된 발명은, 고주파 신호를 온-오프 제어 및 하이 레벨-로우 레벨 제어 중의 하나의 제어에 의해 고주파 펄스열(train)을 생성하는 고주파 전원, 해당 고주파 전원에 접속되어 있고, 해당 고주파 전원으로부터의 해당 고주파 펄스열을 증폭하여 고주파 전력 펄스열을 생성하는 증폭 수단, 해당 증폭 수단으로부터의 해당 고주파 전력 펄스열이 인가되는 것에 의해 펄스 플라즈마가 여기되는 플라즈마원, 및 해당 플라즈마원과 피처리체를 탑재하는 하부 전극을 겸한 탑재대(즉, 하부 전극)를 그 실내에 갖고, 해당 플라즈마원으로부터의 해당 펄스 플라즈마에 의해 탑재대에 탑재된 피처리체를 플라즈마 처리하는 처리실을 구비하는 플라즈마 처리 장치에 있어서, 하기에 기재하는 바와 같은 여러가지의 특징·개선에 의해 상기 과제를 해결한다.
본 발명의 제 1 특징·개선은, 고주파 전원으로부터의 고주파 펄스열 중 적어도 하나의 고주파 펄스의 포락선(包絡線) 파형이 상승하는 당초의 소정 기간에 있어서의 해당 고주파 펄스의 주파수를, 해당 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 주파수 제어 수단을 마련한 점에 있다.
이 특징·개선에 관련하여, 본 발명은 상기한 바와 같이 고주파 펄스의 주파수를 높게 설정하는 수단으로서, 높은 주파수로부터 서서히 감소시켜 해당 펄스 플라즈마 여기되어 있는 정상 시의 주파수로 되도록 제어하는 수단을 구비하는 점에도 특징·개선을 가지고 있다.
이 제 1 특징·개선에 의하면, 플라즈마원의 캐패시턴스가 작은 시기, 즉,고주파 펄스열의 최초의 펄스가 온(on)으로 되어 플라즈마가 착화되는 시기, 그 후의 각 펄스가 온으로 되어 플라즈마가 여기되는 시기 혹은 해당 양시기의, 고주파 전력의 주파수는 펄스 플라즈마가 발생하고 있는 정상시의 주파수보다도 높은 주파수로 쉬프트되어, 공진 조건을 갖추기 쉽게 된다. 따라서, 플라즈마 처리 장치로 채용되어 있는 기계식의 매칭 회로는, 플라즈마원의 콘덕턴스의 변화에 추종하는 스피드가 비교적 느린 회로이지만, 매칭 회로에 의존하지 않고, 공진 조건이 정합되기 때문에, 펄스 플라즈마의 착화 및 여기가 원활히 실행되어, 소망하는 간격으로 펄스 플라즈마를 균일하게 생성할 수 있어, 안정된 플라즈마 처리가 실행된다.
본 발명의 제 2 특징·개선은, 피처리체의 처리면상에서의 전위에 상당하는 전위(즉, 피처리체의 처리면상에서의 전위 및 해당 전위에 상당하는 전위)의 최대값, 최소값 및 평균값 중 하나가 소정값 이하로 되도록, 피처리체가 탑재된 탑재대에 인가하는 바이어스용 고주파 전력을 제어하는 점에 있다.
이 제 2 특징·개선에 관해서, 본 발명은 상기 소정값은 플라즈마중의 이온의 충돌에 의해 피처리체에 부여하는 손상을 경감하는 관점에서 설정된 값으로 하는 점에도 특징·개선을 가지고 있다.
또한, 상기 "소정값 이하"를 실시하는 수단에 관하여, 본 발명은 해당 탑재대에 대하여 일정 진폭의 바이어스용 고주파 전력을 인가한 경우에, 해당 피처리체의 처리면상에 발생하는 전위에 상당하는 전위의 요철의 변화에 대하여 상대적으로 반전된 파형으로 서로 유사한 포락선 파형을 해당 바이어스용 고주파 전력이 갖도록 제어하는 점 및 해당 바이어스용 고주파 전력의 주파수를 제어하는 점에도 특징·개선을 가지고 있다. 이 제 2 특징·개선에 의하면, 플라즈마원에 인가되는 고주파 전력 펄스열의 최초의 펄스가 온으로 되어 플라즈마가 착화되는 시기 및 그 후 각 펄스가 온으로 되어 플라즈마가 여기되는 시기에, 피처리체의 처리면상에서의 전위가 급격히 변화하는 현상이 완화되어, 피처리체가 받는 손상이 경감된다.
이외에도, 본 발명은 2개 이상의 플라즈마원을 갖는 플라즈마 처리 장치에 있어서, 각 플라즈마원은 타이밍을 서로 어긋난 고주파 전력 펄스열이 인가되는 점 및 피처리체가 탑재되는 탑재대가, 플라즈마원에 인가되는 고주파 전력 펄스열보다도 소정의 지연을 가지고 있는 바이어스용 고주파 전력 펄스열을 인가하는 점을 특징·개선으로 하고 있다.
전자(前者)의 특징·개선점에 의해, 2개 이상의 플라즈마원에 인가되는 고주파 전력 펄스가 헌팅(hunting)하는 것을 피할 수 있다.
후자(後者)의 특징·개선점에 의해, 처리실내에 최적의 상태에서 펄스 플라즈마가 발생한 후에, 해당 펄스 플라즈마가 효율적으로 피처리체상에 인입되어, 효과적이면서 또한 균일한 플라즈마 처리를 실행할 수 있다.
본 발명은 이들 특징·개선 중 어느 하나의 특징·개선을 채용하여 구성될 수 있고, 또한 이들 특징·개선 중 두개 이상의 특징·개선을 채용하여 구성될 수 있다.
첨부한 도면은, 명세서의 일부와 서로 연대하면서 또한 일부를 구성하며, 본 발명의 바람직한 실시예를 도시한다. 그리고, 해당 도면은 상기에서 기술한 일반적인 기술과 이하에 기술하는 바람직한 실시예에 관한 상세한 설명에 의해, 본 발명의 설명에 제공하는 것이다.
(실시예)
본 발명은 평행 평판형 에칭 장치를 비롯하여, 여러가지 형태의 플라즈마 처리 장치에 적용될 수 있다. 이하에 첨부 도면을 참조하면서 본 발명을 평행 평판형 에칭 장치에 적용한 실시예를 상세히 설명한다.
또, 이하의 설명에 있어서, 중복된 설명을 생략하기 위해 대략 동일한 기능 및 구성을 갖는 구성 요소에는 동일 번호가 부여되어 있다.
도 1을 참조하면, 평행 평판형 에칭 장치(100)의 처리 용기(102)는, 도전성 소재(예컨대, 표면이 양극 산화 처리된 알루미늄)로 이루어지며, 대략 원통 형상을 하고 있다. 이 처리 용기(102)내에는, 피처리체(예컨대, 반도체 웨이퍼)가 탑재되는 탑재대(104), 해당 피처리체에 대하여 플라즈마 처리를 실시하는 처리실(106)이 마련되어 있다.
상기 처리실(106)의 바닥부에는, 절연성 소재(예컨대, 세라믹스)의 절연 지지판(103), 이 절연 지지판(103)의 상부에 피처리체를 위한 탑재대(104)가 마련되어 있다. 탑재대(104)는 대략 원주 형상이며, 도전성 소재(예컨대, 알루마이트 처리된 알루미늄)로 형성되어 있다. 탑재대(104) 상에는, 피처리체를 흡착 유지하기 위한 정전척(chuck)(111)이 마련되어 있다. 이 하부 전극을 겸한 탑재대(104)는 하부 전극의 기능도 가지며, 고주파 전원(136)으로부터 증폭기(130)및 매칭 회로(132)를 거쳐서 바이어스용 고주파 전력 펄스열이 인가된다.
탑재대(104)내에는, 냉매 순환 수단(105)이 마련되어 있고, 탑재대(104)에 탑재된 피처리체 W는 냉각되어, 그 피처리면의 온도는 소정의 온도로 조절된다. 정전척(111)내에는, 전열(傳熱) 가스 공급 구멍(도시하지 않음)이 대략 동심원상으로 형성되어 있다. 각 전열 가스 공급 구멍에는 전열 가스 공급관(113)을 거쳐서 전열가스(예컨대, 헬륨 가스)가 공급되고, 해당 전열 가스는 상기 피처리체 W와 정전척(111)과의 사이에 형성되는 미소 공간에 공급되어, 해당 냉매 순환 수단(105)과 피처리체 W 사이의 전열 효율을 높이고 있다.
해당 탑재대(104)의 상단 주연부는, 해당 정전척(111)상에 탑재된 해당 피처리체 W를 둘러싸도록, 피처리체의 외주 형상에 거의 합치한 포커스 링(115)을 가지고 있다. 해당 포커스 링(115)은 절연성의 재질(예컨대, 석영)으로 이루어지고, 플라즈마 중의 반응성 이온 등을 끌어당기지 않는 작용을 가지고 있어, 해당 반응성 이온 등은 해당 포커스 링(115)의 내측의 피처리체 W에만 입사한다.
해당 탑재대(104)와 해당 처리 용기(102)의 내벽과의 사이에는, 복수의 개방 구멍을 갖는 배기링(117)이 해당 탑재대(104)를 둘러싸도록 형성되어 있다. 해당 배기링(117)은 배기류의 흐름을 정돈하는 기능을 가지고 있어, 처리 가스 등은 해당 처리 용기(102)내로부터 균일하게 배기된다.
배기관(108)이 해당 처리실(106)의 바닥부 측벽에 접속되어 있다. 해당 배기관(108)의 다른 단부에는, 밸브 등(도시하지 않음)을 거쳐서 진공 흡입 수단(110)이 접속되어 있다. 해당 처리실(106)내는, 해당 진공 흡입 수단(110)에 의해 소정의 감압력(예컨대 1∼100mTorr)으로 유지된다.
탑재대(104)(도 1에 도시된 장치에서는 하부전극도 겸하고 있기 때문에 이하 하부 전극을 겸하는 탑재대라 함)와 대향하는 처리실(106)내의 상부 벽부에는, 대략 원통 형상의 상부 전극(112)이 마련되어 있다. 해당 상부 전극(112)은 도전성 소재(예컨대, 표면이 양극 산화 처리된 알루미늄)로 구성되어 있다. 해당 상부 전극(112)에는 가스 공급관(114)이 접속되어 있고, 해당 가스 공급관(114)은 밸브(116) 및 매스 플로우 콘트롤러(MFC)(118)를 거쳐서, 가스 공급원(120)에 접속되어 있다.
해당 상부 전극(112)의 내부는 중공부(中空部)(112a)를 가지고 있고, 피처리체 W에 대향하는 면(112b)은, 다수의 가스 토출구(吐出口)(112c)를 가지고 있다. 가스 공급원(120)으로부터의 처리 가스(예컨대, C4F8가스)는, 해당 상부 전극(112)내의 해당 중공부(112a)로 도입되어 해당 토출구(112c)로부터 해당 처리실(106)내로 균일하게 도입된다.
상부 전극(112)으로 플라즈마 발생·여기용의 고주파 전력 펄스열을 인가하기 위한 구성에 대하여 설명한다. 상부 전극(112)은 매칭 회로(125), 증폭기(126)를 거쳐서, 고주파 펄스 전원(128)에 전기적으로 접속되어 있다. 고주파 펄스 전원(128)으로부터의 소정 주파수 및 출력값을 갖는 고주파 펄스열은, 증폭기(126)에 의해 증폭되고, 증폭기(126)로부터의 고주파 전력 펄스열은 매칭 회로(125)에 의해 처리실(106)내의 공진 조건에 매칭되도록 처리되어, 상부전극(112)에 인가된다. 해당 고주파 전력 펄스열이 인가되는 상부 전극(112)의 공급점 근방에, 해당 고주파 전력 펄스열의 상태를 검출하는 센서(121)가 마련되고, 해당 센서에서 검출된 값은 제어기(129)로 귀환된다.
제어기(129)는 고주파 전원(128) 및 증폭기(126)에 접속되어, 각각을 제어한다. 이 제어에 의해, 소정의 주파수 및 출력값을 갖는 고주파 전력 펄스열이 고주파 전원(128) 및 증폭기(126)에 의해 형성되어, 상부 전극(112)에 인가된다.
바이어스용 고주파 전력 펄스열을 하부 전극을 겸한 탑재대(104)에 인가하기 위한 구성에 대하여 설명한다. 하부 전극을 겸한 탑재대(104)은 매칭 회로(132), 증폭기(130)를 거쳐서, 고주파 펄스 전원(136)에 전기적으로 접속되어 있다. 고주파 펄스 전원(136)으로부터의 소정 주파수 및 출력값의 바이어스용 고주파 펄스열은, 증폭기(130)에 의해 증폭되고, 증폭기(130)로부터의 바이어스용 고주파 전력 펄스열은 공진 조건으로의 매칭 회로(125)를 경유하여, 상부 전극(112)에 인가된다. 제어기(129)는 증폭기(130) 및 고주파 전원(136)에 전기적으로 접속되어, 증폭기(130) 및 고주파 전원(136)을 제어하며, 소정의 주파수 및 출력값을 갖는 바이어스용 고주파 전력 펄스열을 형성한다.
해당 바이어스용 고주파 전력 펄스열이 인가되는 하부 전극을 겸한 탑재대(104)의 공급점 근방에, 해당 고주파 전력 펄스열의 상태를 검출하는 센서(133)가 마련되어, 해당 센서에 의해 하부 전극을 겸한 탑재대(104)으로 인가되는 바이어스용 고주파 전력 펄스열의 전위의 최대값, 최소값 및 평균값 중 하나를 측정하고, 이 측정된 값은 제어기(129)로 귀환된다. 제어기(129)는 이 귀환된 측정값에 따라서, 상부 전극(112) 및 하부 전극을 겸한 탑재대(104)에 인가되는 고주파 전력 펄스의 형성을 적절히 제어한다. 이 제어기(129)의 제어에 의해, 처리실(106)내에는 최적의 펄스 플라즈마가 생성되고, 피처리체는 손상이 경감된 상태에서 해당 펄스 플라즈마에 의해 균일하게 처리될 수 있다.
도시한 실시예에서는, 바이어스용 고주파 전력 펄스열의 전위의 최대값, 최소값 및 평균값 중 하나를 측정하는 상기 센서를, 해당 바이어스용 고주파 전력 펄스열이 인가되는 하부 전극을 겸한 탑재대(104)의 공급점 근방에 마련되어 있다. 그러나, 해당 센서를 설치하는 위치 등은 이러한 실시예에 한정되지 않는다.
즉, 본 발명은 피처리체 W의 처리면상에 나타내는 전위의 최대값, 최소값 및 평균값 중 하나를 소정값 이하로 제어함으로써, 피처리체 W로의 손상을 경감하면서, 또한 균일한 플라즈마 처리를 달성한다. 이 관점에서, 피처리체 W의 처리면 상부의 이온 에너지가 반영되는 플라즈마 전위를 직접 측정하는 것이 바람직하다. 도시한 실시예의 플라즈마 장치에서는, 해당 플라즈마 전위를 직접 측정하는 것은 곤란하기 때문에, 해당 바이어스용 고주파 전력 펄스열이 인가되는 하부 전극을 겸한 탑재대(104)의 공급점 부근의 전위를, 혹은 해당 전위에 소정의 보정 처리를 실시한 값을, 피처리체 W의 처리면상의 전위에 상당하는 전위로서 이용하고 있다.
이러한 측정 방법 이외에도, 피처리체의 이면(裏面) 부근에 마련된 센서나 피처리체 W의 외부 둘레에 마련된 포커스 링에 마련한 센서에 의해 측정한 전위를, 혹은 해당 전위에 소정의 보정 처리를 실시한 값을, 피처리체 W의 처리면상의 전위에 상당하는 전위로서 이용할 수 있다. 또한, 피처리체 W의 처리면 상부의 플라즈마 영역의 중간 전위를 적당한 센서(예컨대, 에미시브 프로브, 적외선 분광 흡수법을 이용한 스펙트럼 분석형 센서)에 의해 측정하여, 상기와 마찬가지로 사용할 수 있다.
상부 전극(112)에 인가되는 플라즈마 발생·여기용의 고주파 전력 펄스열 및 하부 전극을 겸한 탑재대(104)에 인가되는 바이어스용 고주파 전력 펄스열을 제어하는 형태에 대하여 구체적으로 설명한다.
(1) 플라즈마 발생·여기용의 고주파 전력 펄스의 제어에 대하여,
도 1에 도시된 본 발명의 실시예의 플라즈마 처리 장치는, 도 2에 모식적으로 도시하는 바와 같이, 인덕턴스 소자(L)와 캐패시턴스 소자(C)로 구성되는 LC 공진회로로 간주되며, 그 공진 주파수 f는,
f=1/2πL×C
에 의해 구해진다.
플라즈마가 처리실(106)내에 발생·여기되어 있지 않은 상태에 있어서는, 상부 전극(112)과 하부 전극을 겸한 탑재대(104) 사이의 공간은, 고진공 상태에 있고, 그 캐패시턴스(C)는 작다. 이에 대해서, 플라즈마가 처리실(106)내에 발생·여기되어 있는 상태에 있어서는, 상부 전극(112)과 하부 전극을 겸한 탑재대(104) 사이의 공간은, 플라즈마에 의해 도통 상태로 되고, 외견상의 대향 전극간의 거리가 짧아져서, 캐패시턴스(C)는 커진다. 이와 같이, 플라즈마 처리 장치(100)의 양(兩) 전극간 공간의 상태에 따라서, 양 전극간의 캐패시턴스(C)는변화하고, 공진 주파수 f도 변화한다. 플라즈마가 발생·여기하지 않은 상태에 있어서, 플라즈마가 발생·여기한 상태에 매칭된 고주파 전력 펄스열을 인가하여 플라즈마를 착화시키는 경우, 플라즈마가 착화되기 어렵다고 하는 과제가 있다. 또한, 고주파 전력 펄스열의 각 펄스간의 오프 기간이 비교적 긴 경우, 혹은 각 펄스 사이에서 플라즈마 밀도가 희박하게 되는 경우, 플라즈마가 발생·여기한 상태에 매칭된 고주파 전력 펄스열을 인가하여 플라즈마를 착화시키는 경우에도, 플라즈마가 착화되기 어렵다고 하는 과제가 있다.
도 3a에 도시하는 고주파 전력 펄스열을 플라즈마 처리 장치에 인가하면, 도 3b에 도시하는 바와 같이 해당 펄스의 상승 및 하강 시점에서 반사파가 발생한다. 고주파 전력 펄스열에 의해 펄스 플라즈마를 양 전극 사이에서 여기시키고자 하는 경우에는, 매칭 회로(125)는 해당 반사파의 영향을 제거하면서, 최적의 공진 조건으로의 매칭를 실현할 필요가 있다. 매칭 회로(125)는, 그 구성 요소인 인덕턴스(L)와 캐패시턴스(C)를 기계적으로 조정하는 기구를 구비하고 있기 때문에, 고주파 전력 펄스열에 기인하는 상기 양 전극간의 캐패시턴스(C)의 빠른 변화에 추종하는 것이 곤란하다고 하는 문제가 있다. 그 결과, 플라즈마의 여기에 기여하지 않은 고주파 전력 성분이 발생하여, 전력 소비의 낭비가 발생함과 동시에, 피처리체 W에 대한 손상이나, 플라즈마 밀도의 변동에 따른 처리의 균일성의 저하 등의 문제가 발생되고 있었다.
도 4a 및 도 4b에는, 상부 전극(112)에 인가되는 고주파 전력 펄스열의 모든 각 펄스의 당초의 소정 기간에 있어서, 그 주파수를 높은 주파수로 쉬프트하고, 서서히 정상시의 주파수까지 감소시키는 실시예가 도시되어 있다.
이와 같이, 본 발명에서는, 상부 전극(112)에 인가되는 고주파 전력 펄스열의 주파수를 제어기(129)에 의해 제어하고, 고주파 전력 펄스열 중 적어도 하나의 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간에 있어서의 주파수를, 펄스 플라즈마가 여기되어 있는 정상시의 주파수(예컨대, 13.56MHZ)보다도 상대적으로 높은 주파수(예컨대, 15MHZ)로 쉬프트시킨다.
이러한 구성에 의하면, 플라즈마가 처리실(106)내에 발생·여기되어 있지 않은 상태에서, 및 플라즈마가 희박한 상태에서, 상기 양 전극간의 캐패시턴스(C)가 작고, 공진 주파수가 큰 조건에 대응하여, 해당 기간 동안 고주파 전력 펄스의 주파수는 높게 쉬프트된다. 이 쉬프트에 의해, 해당 기간 동안 공진 조건이 쉽게 갖춰져서, 매칭 회로(125)의 추종성이 나쁜 경우이었어도 플라즈마의 여기에 기여하지 않는 고주파 전력의 비율을 경감하고, 플라즈마를 용이하게 착화시켜서, 고주파 전력의 이용 효율을 높이는 것이 가능하게 된다.
상기 설명에 있어서는, 각 펄스 플라즈마의 착화·여기시(즉, 각 고주파 전력 펄스가 온일 때)에, 고주파 전력 펄스의 주파수를 정상시보다도 상대적으로 높은 주파수로 쉬프트시키는 구성을 도시하였다. 본 발명은 이러한 실시예에 한정되지 않는다. 예를 들어, 처리 내용에 따라서는, 소정의 플라즈마 처리의 종료 후에, 애프터 글로우 플라즈마를 여기시킨다. 이 애프터 글로우 플라즈마가 여기되어 있을 때에는, 상기 양 전극간의 플라즈마는 대단히 희박한 상태에 있다. 이 플라즈마가 대단히 희박한 상태에 있어서도, 플라즈마는 착화되기 어렵다.본 발명에 의하면, 양 전극 사이에 플라즈마가 존재하지 않는 경우뿐만 아니라, 애프터 글로우 플라즈마 여기시와 같이, 양 전극간의 플라즈마가 희박한 경우에도, 각 펄스 플라즈마의 착화 타이밍에 맞춰서 고주파 전력 펄스의 주파수를 상대적으로 높은 주파수로 쉬프트시키기 때문에, 플라즈마는 용이하게 착화된다.
본 발명에서는, 각 고주파 전력 펄스의 해당 기간 동안의 주파수는, 높은 주파수로 쉬프트되지만, 이 높은 주파수로부터 정상시의 낮은 주파수로 낮추는 형태로서는, 도 4b에 도시하는 바와 같이, 서서히 낮은 주파수로 쉬프트시키는 것도 가능하고, 혹은, 1 또는 2 이상의 단계에 걸쳐 단계적으로 낮은 주파수로 쉬프트하여도 무방하다.
(2) 바이어스용 고주파 전력 펄스의 제어에 대하여,
도 5a, 도 5b, 도 5c, 도 5d 및 도 5e를 참조하면, 상부 전극(112)에 대하여 소정 주파수의 고주파 전력 펄스열이 인가되고(도 5a), 하부 전극을 겸한 탑재대(104)에 대하여 일정 출력의 바이어스용 고주파 전력이 인가되는(도 5b) 경우에는 비교예로서 설명할 수 있다. 이 경우에 있어서, 플라즈마 여기용의 고주파 전력 펄스가 온되는 타이밍에 따라서, 처리실(106)내의 전자 온도는, 도 5c에 도시하는 바와 같이 급격히 상승한다. 그에 따라서, 처리실(106)내의 펄스 플라즈마의 밀도는, 도 5d에서 전자 밀도를 이용하여 나타낸 파형을 도시한다. 플라즈마 여기용의 고주파 전력 펄스가 오프인 기간 동안에는 플라즈마 밀도가 감소되기 때문에, 피처리체 W의 처리면 부근의 전위는 급격히 상승하고, 그 최대값 및 최소값(Vpp)은, 도 5e에 도시한 바와 같이, 도 5d에 도시된 전자 밀도의 파형과 서로반대로 변화한다.
이 경우와 같이, 바이어스용 고주파 전력을 일정하게 유지한 종래 장치에 있어서는, 플라즈마 여기용의 고주파 전력 펄스가 오프인 기간 동안에, 상승한 Vpp에 의해 이온은 급격히 가속되고, 피처리체 W의 처리면에 강하게 충돌하여 피처리체 W에 손상을 입힐 우려가 있다.
본 발명은 해당 피처리체의 처리면상에서의 전위에 상당하는 전위의 최대값, 최소값 및 평균값 중 하나가 소정값 이하로 되도록, 바이어스용 고주파 전력을 제어함으로써 상기 문제점을 해결한다.
도 6a, 도 6b, 도 6c 및 도 6d에 있어서, 상부 전극(112)(및 하부 전극을 겸한 탑재대(104))에 대하여, 주파수 또는 출력을 제어한 고주파 전력 펄스열이 인가된다. 피처리체 W가 소정의 프로세스 조건이 갖추어진 처리실(106)내의 탑재대(104)상에 탑재된다. 상부 전극(112)에 고주파 전력 펄스열(예컨대, 온시에는 2kW, 오프시에는 0kW)이 인가된다(도 3a). 고주파 펄스열을 발진하는 고주파 전원(126) 및 해당 펄스를 증폭하는 증폭기(126)는 제어기(129)에 의해 제어되고 있다. 본 발명에 따라서, 플라즈마의 착화 성능을 높이기 위해서, 펄스가 상승하는(온) 기간 동안의, 고주파 전력 펄스열의 주파수는 정상시의 주파수(예컨대, 13.56MHZ)보다도 높은 주파수(예컨대, 15MHz)로 쉬프트된다(도 4b). 처리실(106)에 처리 가스가 도입되고, 고주파 전력 펄스열이 상부 전극(112)에 인가됨으로써, 처리실(106)내에서 해당 펄스와 처리 가스가 반응하여 펄스 플라즈마가 생성된다. 도 5d의 전자 밀도가 나타내는 바와 같이, 이 펄스 플라즈마는 고주파 전력 펄스의 상승(온)과 동시에는 생성되지 않고, 타임 래그을 갖고 생성된다. 해당 펄스 플라즈마는 해당 고주파 전력 펄스가 하강(오프)과 동시에는, 소멸하지 않고, 잠시동안 애프터 글로우로서 잔류하고 있다.
본 발명의 장치는, 해당 고주파 전력 펄스가 온으로 될 때와, 펄스 플라즈마가 생성될 때와의 사이의 타임 래그를 감안하여, 바이어스용 고주파 전력 펄스열을 하부 전극을 겸한 탑재대(104)으로 인가하는 타이밍을 제어한다. 즉, 상부 전극(112)과 하부 전극을 겸한 탑재대(104)과의 사이의 공간에 펄스 플라즈마가 생성되면, 양 전극간의 캐패시턴스는 커지고, Vpp는 저하한다. 이 변화는 Vpp 또는 VDC의 변화로서 센서(133)에 의해 검출되어, 제어기(129)로 귀환된다. 제어기(129)는 Vpp 또는 VDC가 소정값 이하로 되도록, 바이어스용 고주파 펄스를 발진하는 고주파 전원(136) 및 증폭기(130)를 제어한다.
이 제어의 형태로서는, 일정한 바이어스용 고주파 전력을 하부 전극을 겸한 탑재대에 인가한 경우에 발생하는 전자 밀도의 변화(도 5d)를 상쇄하도록, 바이어스용 고주파 전력 펄스의 전력값은 제어된다. 보다 구체적으로는, 검출된 Vpp 또는 VDC의 파형에 나타내는 변화(도 5e)를 상대적으로 반전한 파형(도 6c)에 상호 유사한 파형을 갖도록, 바이어스용 고주파 전력을, 예를 들어, 800W와 0W와의 사이에서 제어함으로써, 도 6d에 도시하는 바와 같이, Vpp 또는 VDC를 일정하게 하거나, 혹은, Vpp 또는 VDC의 변화를 완화할 수 있다. 이에 따라, 펄스 플라즈마를 소정의 에너지 범위에서 피처리체 W에 인입하여 플라즈마 처리를 실행할 수 있다.
상기 실시예에서는, 상기 Vpp 또는 VDC를 소정값 이하로 하기 위한 수단으로서, 바이어스용 고주파 전력 펄스의 출력 파형을 제어하였다. 본 발명은 이 수단에 한정되는 것이 아니라, 상기 Vpp 또는 VDC의 값을 제어할 수 있는 어떠한 수단도 사용할 수 있다.
예를 들어, 도 6c에 도시하는 바와 같이, 바이어스용 고주파 전력 펄스의 주파수를 제어하여, Vpp 또는 VDC의 레벨을 제어하는 수단도 채용할 수 있다. 도 6c를 참조하면, 바이어스용 고주파 전력 펄스의 주파수를 검출된 Vpp 또는 VDC의 파형으로 나타내는 변화(도 5e)와 상호 유사한 파형을 실질적으로 갖도록(도 6b), 예를 들어, 1.2MHz와 80OkHz와의 사이에서 제어함으로써, 도 6d에 도시하는 바와 같이, Vpp 또는 VDC의 레벨을 일정하게 하거나, 혹은 Vpp 또는 VDC의 변화를 완화할 수 있다.
상기 예에서는, 바이어스용 고주파 전력 펄스열의 출력 전력 또는 주파수 중 어느 한쪽을 제어하는 수단을 나타내었지만, 출력 전력과 주파수의 양쪽을 동시에 제어하는 것도 가능하다.
요컨대, 본 발명의 요지는, 피처리체 W를 플라즈마 처리할 때에, 상기 양 전극간의 임피던스의 변화에 따라 발생하는 피처리체 W의 처리면상에서의 이온 에너지의 급격한 변동을 완화하도록, 바이어스용 고주파 전력 펄스를 제어하는 것에 있다. 이러한 목적을 달성하는 것이면, 상기 예에 한정되지 않고, 여러가지의 바이어스용 고주파 전력 펄스를 제어하는 수단을 채용할 수 있고, 그들 수단의 채용에 대해서도 본 발명의 기술적 범위에 속하는 것이다.
이상 설명한 바와 같이, 본 발명의 실시예로서의 플라즈마 처리 장치에 있어서는, 상부 전극(112) 및 하부 전극을 겸한 탑재대(104)에 각각 인가되는 플라즈마 여기용의 고주파 전력 펄스열 및 바이어스용의 고주파 전력 펄스열의 주파수 및 출력을, 각각 제어함으로써 펄스 플라즈마의 착화성을 높이는 것이 가능해진다. 또한, 플라즈마 처리중, Vpp 또는 VDC를 소정값 이하로 제어함으로써, 피처리체 W는 손상을 경감할 수 있고, 생성된 펄스 플라즈마를 소정의 에너지로 피처리체 W에 인입하여, 균일한 플라즈마 처리를 실시할 수 있다.
바이어스용 고주파 전력 펄스열을 인가하는데 있어서는, 플라즈마 여기용 고주파 전력 펄스와는 약간의 지연을 갖게 하는 것이 바람직하다. 도 5d에 도시하는 바와 같이, 플라즈마 여기용의 고주파 전력 펄스열에 의해 여기되는 펄스 플라즈마의 밀도는, 플라즈마 여기용의 고주파 전력 펄스의 온 타이밍에 대하여 약간의 지연을 갖고 피크(peak)에 도달하고, 플라즈마 여기용의 고주파 전력 펄스의 오프 타이밍에 대하여 약간의 지연을 갖고 감쇠하여 간다. 이러한 플라즈마 밀도의 변화에 맞춰서 바이어스용의 고주파 전력 펄스의 온/오프 전환 타이밍 또는 하이/로우 전환 타이밍이 제어됨으로써 펄스 플라즈마를 보다 효율적으로 이용할 수 있다.
본 발명의 바람직한 실시예가 첨부 도면을 참조하면서 설명되었지만, 본 발명은 이러한 실시예에 한정되지 않는다. 특허청구의 범위에 기재된 기술적 사상의 범주에 있어서, 해당업자라면, 각종 변경예 및 수정예에 생각이 미칠 수 있는 것이며, 그들 변경예 및 수정예에 관해서도 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예를 들어, 상기 실시예에 있어서, 플라즈마 여기용의 고주파 전력 펄스열 및 바이어스용의 고주파 전력 펄스열의 주파수 및 출력을 동시에 제어한 구성을 설명하였지만, 본 발명은 이러한 실시예에 한정되지 않고, 프로세스에 따라서, 플라즈마 여기용의 고주파 전력 펄스열과 바이어스용의 고주파 전력 펄스열 중 어느 한쪽만을 제어하는 구성으로 하여도 무방하다.
또, 상기 실시예에 있어서는, 센서(121 및 133)에 의해 플라즈마 여기용의 고주파 전력 펄스열 및 바이어스용의 고주파 전력 펄스열의 변화를 검출하여, 제어기(129)에 의해 피드백 제어하는 구성을 설명하였다. 본 발명은 이러한 구성에 한정되지 않고, 미리 시험적으로 필요한 제어 파라미터를 구해 놓고, 그 제어 파라미터에 따라서, 오픈 루프(open loop) 제어를 실행하는 구성을 채용하여도 무방하다.
오픈 루프 제어를 실행하는 경우에 있어서도, 상기 센서(121 및 133)에 의해 플라즈마 여기용의 고주파 전력 펄스열 및 바이어스용의 고주파 전력 펄스열의 변화를 검출하여, 그 검출치가 소정값을 초과하지 않도록, 제어 내용을 다단에 걸쳐, 혹은 연속적으로 변화시켜도 무방하다.
상기 실시예에 있어서는, 상부 전극(112) 및 하부 전극을 겸한 탑재대(104)에 각각 인가하는 플라즈마 여기용의 고주파 전력 펄스열 및 바이어스용의 고주파 전력 펄스열로서, 온/오프의 상태를 변화하는 펄스를 이용한 실시예를 설명하였지만, 본 발명은 이러한 실시예에 한정되지 않고, 하이/로우의 상태를 변화하는 펄스를 이용하는 것도 가능하다.
상기 실시예에 있어서, 평행 평판형 에칭 장치에 의해 피처리체를 에칭하는 장치를 설명하였지만, 본 발명은 이러한 장치에 한정되지 않고, 고주파 전력 펄스열을 이용하여 플라즈마를 여기하는 여러가지 플라즈마원, 예를 들어, ECR 플라즈마원이나 유도 결합 플라즈마원 등을 채용한 플라즈마 처리 장치에 당연히 적용하는 것도 가능하다.
본 발명은, 하나의 플라즈마원만을 갖는 플라즈마 처리 장치에 한정되지 않고, 2개 이상의 플라즈마원을 갖는 플라즈마 처리 장치에 대해서 적용하는 것도 가능하다(도 7). 그 경우에, 각 플라즈마원에 고주파 펄스를 인가하는 타이밍은, 헌팅을 피하기 위해서, 다소의 어긋남을 가지게 하는 것이 바람직하다.
상기 실시예에서는, 피처리체 W로서 웨이퍼를 처리하는 것을 예로 들어 설명하였지만, 본 발명은 이러한 예에 한정되지 않는다. 예를 들어, 본 발명은 LCD용 유리 기판에 대하여 에칭하는 장치에 적용하는 것도 가능하다.
상기 실시예에서는, 플라즈마 처리 장치로서, 에칭 장치를 예로 들어 설명하였지만, 본 발명은 에칭 장치에 한정되지 않고, 펄스 플라즈마를 이용하여 피처리체에 대하여 소정의 플라즈마 처리를 실시하는 여러가지 장치, 예를 들어 애싱(ashing) 장치나 플라즈마 CVD 장치에 적용하는 것도 가능하다.
이상 설명한 바와 같이, 본 발명에 대한 플라즈마 처리 장치에 있어서는, 각 펄스 플라즈마의 착화시나 애프터 글로우 플라즈마의 여기시에, 펄스 플라즈마가여기되어 있는 정상시보다도 상대적으로 높은 주파수로 쉬프트시키기 때문에, 공진 조건이 쉽게 갖춰지고, 추종성이 느린 매칭 회로를 이용한 경우에도, 펄스 플라즈마의 착화가 용이해져서, 소망하는 간격으로 균일한 펄스 플라즈마를 생성시킬 수 있다.
피처리체를 탑재한 탑재대에, Vpp 또는 VDC가 소정값을 초과하지 않도록 출력이 제어된 바이어스용의 고주파 전력 펄스열을 인가하기 때문에, 그 펄스의 온 혹은 오프시에 피처리체의 Vpp 혹은 VDC가 급격히 변화하여 피처리체에 손상을 입히는 일 없이, 손상을 입히지 않는(damageless) 균일한 플라즈마 처리를 피처리체에 실시할 수 있다.
피처리체를 탑재한 탑재대에, Vpp 또는 VDC가 실질적으로 일정하게 되도록 주파수가 제어된 바이어스용 고주파 전력의 펄스를 인가하기 때문에, 그 펄스의 온 혹은 오프시에 피처리체의 Vpp 혹은 VDC가 급격히 변화하여 피처리체에 손상을 입히는 일 없이, 손상을 입히지 않는 균일한 플라즈마 처리를 피처리체에 실시할 수 있다.

Claims (23)

  1. 고주파 신호를 온-오프 제어 및 하이 레벨-로우 레벨 제어 중 하나의 제어에 의해 고주파 펄스열(train)을 생성하는 고주파 전원과,
    상기 고주파 전원에 접속되어 있고, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중 적어도 하나의 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안에 있어서의 상기 고주파 펄스의 주파수를, 상기 소정 기간 이후의 주파수보다 높게 설정하는 주파수 제어 수단과,
    상기 주파수 제어 수단에 의해 제어된 상기 고주파 펄스열을 증폭하여 고주파 전력 펄스열을 생성하는 증폭 수단과,
    상기 증폭 수단으로부터의 상기 고주파 전력 펄스열이 인가됨으로써 펄스 플라즈마가 여기되는 플라즈마원 및,
    상기 플라즈마원 및 피처리체를 탑재한 탑재대를 그 실내에 갖고, 상기 플라즈마원으로부터의 상기 펄스 플라즈마에 의해 탑재대에 탑재된 피처리체를 플라즈마 처리하는 처리실을 포함하고 있는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    그 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중의, 플라즈마 착화시의 고주파 전력 펄스에 대하여, 상기 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 제어 수단인 플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    그 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중의, 플라즈마가 착화된 후의 상기 고주파 펄스열 중의, 각 고주파 전력 펄스에 대하여, 각 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 제어 수단인 플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    그 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중의, 플라즈마 착화시의 고주파 전력 펄스 및 플라즈마가 착화된 후의 각 고주파 전력 펄스에 대하여, 각 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 제어 수단인 플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안에 있어서, 상기 고주파 펄스의 주파수를 높은 주파수로부터 서서히 감소시켜, 펄스 플라즈마 여기되어 있는 정상 시의 주파수로 되도록 설정하는 제어 수단인 플라즈마 처리 장치.
  6. 제 1 항에 있어서,
    상기 플라즈마원은 2개 이상의 플라즈마원이고,
    상기 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중 적어도 하나의 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안에 있어서의 상기 고주파 펄스의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정함과 동시에, 상기 2개 이상의 플라즈마원의 각 플라즈마원에 인가되는 상기 고주파 전력 펄스열의 타이밍을 서로 어긋나도록 설정하는 제어 수단인 플라즈마 처리 장치.
  7. 고주파 신호를 온-오프 제어 및 하이 레벨-로우 레벨 제어 중 하나의 제어에 의해 고주파 펄스열(train)을 생성하는 고주파 전원과,
    상기 고주파 전원으로부터의 상기 고주파 펄스열을 증폭하여 고주파 전력 펄스열을 생성하는 증폭 수단과,
    상기 증폭 수단으로부터의 상기 고주파 전력 펄스열이 인가됨으로써 펄스 플라즈마가 여기되는 플라즈마원과,
    상기 플라즈마원 및 피처리체를 탑재한 탑재대를 그 실내에 갖고, 상기 플라즈마원으로부터의 상기 펄스 플라즈마에 의해 탑재대에 탑재된 피처리체를 플라즈마 처리하는 처리실과,
    상기 탑재대에 바이어스용 고주파 전력을 공급하는 바이어스용 전력원과,
    상기 바이어스용 전력원에 접속되어, 상기 피처리체의 처리면상에서의 전위가 일정해지도록, 바이어스용 고주파 전력을 설정하기 위한 바이어스용 전력 제어 수단을 포함하고 있는 플라즈마 처리 장치.
  8. 제 7 항에 있어서,
    상기 소정값은 플라즈마 중의 이온의 충돌에 의해 피처리체에 부여되는 손상을 경감하는 관점에서 설정된 값인 플라즈마 처리 장치.
  9. 제 8 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스용 전력 제어 수단은, 상기탑재대에 대하여 일정 진폭의 바이어스용 고주파 전력을 인가한 경우에, 상기 피처리체의 처리면상에 발생되는 전위에 상당하는 전위의 요철의 변화에 대하여 상대적으로 반전된 파형과 서로 유사한 포락선 파형을 상기 바이어스용 고주파 전력이 갖도록 제어함으로써, 상기 피처리체의 처리면상에서의 전위가 일정해지도록, 바이어스용 고주파 전력을 설정하는 제어 수단인 플라즈마 처리 장치.
  10. 제 8 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스용 전력 제어 수단은, 상기 바이어스용 고주파 전력의 주파수를 제어함으로써, 상기 피처리체의 처리면상에서의 전위가 일정해지도록, 바이어스용 고주파 전력을 설정하는 제어 수단인 플라즈마 처리 장치.
  11. 제 8 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스용 전력 제어 수단은, 상기 탑재대에 대하여 일정 진폭의 바이어스용 고주파 전력을 인가한 경우에 상기 피처리체의 처리면상에 발생하는 전위에 상당하는 전위의 요철의 변화에 대하여 상대적으로 반전된 파형과 서로 유사한 포락선 파형을 상기 바이어스용 고주파 전력이 갖도록, 상기 바이어스용 고주파 전력의 주파수를 설정하는 제어 수단인 플라즈마 처리 장치.
  12. 제 8 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스 전력 제어 수단은, 상기 피처리체의 처리면상에서의 전위에 상당하는 전위의 최대값, 최소값 및 평균값 중 하나가 소정값 이하로 되도록, 바이어스용 고주파 전력을 제어하기 위한 바이어스용 전력 제어 수단으로서, 상기 바이어스용 고주파 전력이 상기 플라즈마원에 인가되는 고주파 전력 펄스열보다도 소정의 지연을 갖도록 설정하는 제어 수단인 플라즈마 처리 장치.
  13. 고주파 신호를 온-오프 제어 및 하이 레벨-로우 레벨 제어 중 하나의 제어에 의해 고주파 펄스열(train)을 생성하는 고주파 전원과,
    상기 고주파 전원에 접속되어 있고, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중 적어도 하나의 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안에 있어서의 상기 고주파 펄스의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 주파수 제어 수단과,
    상기 주파수 제어 수단에 의해 제어된 상기 고주파 펄스열을 증폭하여 고주파 전력 펄스열을 생성하는 증폭 수단과,
    상기 증폭 수단으로부터의 상기 고주파 전력 펄스열이 인가됨으로써 펄스 플라즈마가 여기되는 플라즈마원과,
    상기 플라즈마원 및 피처리체를 탑재하는 탑재대를 그 실내에 갖고, 상기 플라즈마원으로부터의 상기 펄스 플라즈마에 의해 탑재대에 탑재된 피처리체를 플라즈마 처리하는 처리실과,
    상기 탑재대에 바이어스용 고주파 전력을 공급하는 바이어스용 전력원과,
    상기 바이어스용 전력원에 접속되어, 상기 피처리체의 처리면상에서의 전위가 일정해지도록, 바이어스용 고주파 전력을 제어하기 위한 바이어스용 전력 제어 수단을 포함하고 있는 플라즈마 처리 장치.
  14. 제 13 항에 있어서,
    그 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중의, 플라즈마 착화시의 고주파 전력 펄스에 대하여, 상기 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 제어 수단인 플라즈마 처리 장치.
  15. 제 13 항에 있어서,
    그 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중의, 플라즈마가 착화된 후의 각 고주파 전력 펄스에 대하여, 각 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 제어 수단인 플라즈마 처리 장치.
  16. 제 13 항에 있어서,
    그 주파수 제어 수단은, 상기 고주파 전원으로부터의 플라즈마 착화시의 고주파 전력 펄스 및 플라즈마가 착화된 후의 각 고주파 전력 펄스에 대하여, 각 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정하는 제어 수단인 플라즈마 처리 장치.
  17. 제 13 항에 있어서,
    상기 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안에 있어서, 상기 고주파 펄스의 주파수를 높은 주파수에서부터 서서히 감소시켜서, 펄스 플라즈마 여기되어 있는 정상 시의 주파수로 되도록 제어하는 수단인 플라즈마 처리 장치.
  18. 제 13 항에 있어서,
    상기 플라즈마원은 2개 이상의 플라즈마원이고,
    상기 주파수 제어 수단은, 상기 고주파 전원으로부터의 상기 고주파 펄스열 중 적어도 하나의 고주파 펄스의 포락선 파형이 상승하는 당초의 소정 기간 동안에 있어서의 상기 고주파 펄스의 주파수를, 펄스 플라즈마 여기되어 있는 정상 시의 주파수보다 높게 설정함과 동시에, 상기 2개 이상의 플라즈마원의 각 플라즈마원에 인가되는 상기 고주파 전력 펄스열의 타이밍을 서로 어긋나도록 설정하는 제어 수단인 플라즈마 처리 장치.
  19. 제 13 항에 있어서,
    상기 소정값은 플라즈마 중의 이온의 충돌에 의해 피처리체에 부여되는 손상을 경감하는 관점에서 설정된 값인 플라즈마 처리 장치.
  20. 제 13 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스용 전력 제어 수단은, 상기 탑재대에 대하여 일정 진폭의 바이어스용 고주파 전력을 인가한 경우에, 상기 피처리체의 처리면상에 발생하는 전위에 상당하는 전위의 요철의 변화에 대하여 상대적으로 반전된 파형과 서로 유사한 포락선 파형을 상기 바이어스용 고주파 전력이 갖도록 제어함으로써, 상기 피처리체의 처리면상에서의 전위에 상당하는 전위의 최대값, 최소값 및 평균값 중 하나가 소정값 이하로 되도록, 바이어스용 고주파 전력을 설정하는 제어 수단인 플라즈마 처리 장치.
  21. 제 13 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스용 전력 제어 수단은, 상기 바이어스용 고주파 전력의 주파수를 제어함으로써, 상기 피처리체의 처리면상에서의 전위에 상당하는 전위의 최대값, 최소값 및 평균값 중 하나가 소정값 이하로 되도록, 바이어스용 고주파 전력을 설정하는 제어 수단인 플라즈마 처리 장치.
  22. 제 13 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스용 전력 제어 수단은, 상기 탑재대에 대하여 일정 진폭의 바이어스용 고주파 전력을 인가한 경우에 상기 피처리체의 처리면상에 발생하는 전위에 상당하는 전위의 요철의 변화에 대하여 상대적으로 반전된 파형과 서로 유사한 포락선 파형을 상기 바이어스용 고주파 전력이 갖도록, 상기 바이어스용 고주파 전력의 주파수를 설정하는 제어 수단인 플라즈마 처리 장치.
  23. 제 13 항에 있어서,
    상기 바이어스용 전력원에 접속된 상기 바이어스 전력 제어 수단은, 상기 피처리체의 처리면상에서의 전위에 상당하는 전위의 최대값, 최소값 및 평균값 중 하나가 소정값 이하로 되도록, 바이어스용 고주파 전력을 제어하기 위한 바이어스용 전력 제어 수단으로서, 상기 바이어스용 고주파 전력이 상기 플라즈마원에 인가되는 고주파 전력 펄스열보다도 소정의 지연을 갖도록 설정하는 제어 수단인 플라즈마 처리 장치.
KR1019970040090A 1996-08-23 1997-08-22 플라즈마처리장치 KR100415226B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP08241313A JP3122618B2 (ja) 1996-08-23 1996-08-23 プラズマ処理装置
JP96-241313 1996-08-23

Publications (2)

Publication Number Publication Date
KR19980018889A KR19980018889A (ko) 1998-06-05
KR100415226B1 true KR100415226B1 (ko) 2004-04-14

Family

ID=17072443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970040090A KR100415226B1 (ko) 1996-08-23 1997-08-22 플라즈마처리장치

Country Status (4)

Country Link
US (1) US5997687A (ko)
JP (1) JP3122618B2 (ko)
KR (1) KR100415226B1 (ko)
TW (1) TW355812B (ko)

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US20010037770A1 (en) * 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US20030205192A1 (en) * 1999-01-19 2003-11-06 Tokyo Electron Limited Film forming method
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
US7361287B2 (en) * 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
DE10145297A1 (de) * 2001-09-14 2003-04-10 Bosch Gmbh Robert Verfahren zum Einätzen von Strukturen in einen Ätzkörper mit einem Plasma
DE19933841A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE19933842A1 (de) 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
JP4382926B2 (ja) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 プラズマ処理方法
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP3482949B2 (ja) * 2000-08-04 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
JP4724325B2 (ja) * 2000-08-25 2011-07-13 春雄 進藤 プラズマ中の電子エネルギー分布の測定方法及びその装置
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
DE10051508C2 (de) * 2000-10-18 2003-08-07 Fraunhofer Ges Forschung Verfahren und Einrichtung zur Reduzierung der Zündspannung von Leistungspulsen gepulst betriebener Plasmen
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
JP4488662B2 (ja) * 2001-12-13 2010-06-23 東京エレクトロン株式会社 プラズマ処理装置、マッチングボックス
WO2003065435A1 (fr) * 2002-02-01 2003-08-07 Tokyo Electron Limited Procede de gravure
JP4493896B2 (ja) * 2002-03-12 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理停止方法
KR100455753B1 (ko) * 2002-04-08 2004-11-06 한국과학기술연구원 펄스 플라즈마 방전에 의한 박막 증착방법
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7541283B2 (en) * 2002-08-30 2009-06-02 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4532948B2 (ja) * 2004-03-19 2010-08-25 積水化学工業株式会社 プラズマ処理方法
JP2005063760A (ja) * 2003-08-08 2005-03-10 Sekisui Chem Co Ltd プラズマ処理方法および処理装置
WO2005015963A1 (ja) * 2003-08-08 2005-02-17 Sekisui Chemical Co., Ltd. プラズマ処理方法及び装置
JP2005072260A (ja) * 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法
US20050069651A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US9119700B2 (en) 2004-11-30 2015-09-01 Novartis Ag Graphical user interface system and method for representing and controlling surgical parameters
US7945341B2 (en) * 2004-11-30 2011-05-17 Alcon, Inc. Graphical user interface for selecting pulse parameters in a phacoemulsification surgical system
KR101020156B1 (ko) * 2005-12-30 2011-03-08 엘아이지에이디피 주식회사 플라즈마 처리장치
EP2029164B1 (en) 2006-06-07 2015-12-23 The Board of Trustees of the Leland Stanford Junior University Anti-leukocyte recruitment therapy for the treatment of seizures and epilepsy
US20080060579A1 (en) * 2006-08-28 2008-03-13 Atomic Energy Council-Institue Of Nuclear Energy Research Apparatus of triple-electrode dielectric barrier discharge at atmospheric pressure
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7605595B2 (en) * 2006-09-29 2009-10-20 General Electric Company System for clearance measurement and method of operating the same
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080087539A1 (en) * 2006-10-16 2008-04-17 Walton Scott G Apparatus and Method for Materials Processing with Ion-Ion Plasma
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
KR100915613B1 (ko) 2007-06-26 2009-09-07 삼성전자주식회사 펄스 플라즈마 매칭시스템 및 그 방법
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR101124770B1 (ko) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
JP5395491B2 (ja) * 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9024273B2 (en) * 2010-04-20 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Method to generate molecular ions from ions with a smaller atomic mass
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6353223B2 (ja) * 2013-12-20 2018-07-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6424024B2 (ja) * 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9779919B2 (en) * 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6396822B2 (ja) * 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6541623B2 (ja) * 2016-06-20 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN109659215B (zh) 2017-10-10 2021-03-09 东京毅力科创株式会社 等离子体处理装置和检测电路
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP2020071912A (ja) 2018-10-29 2020-05-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
JP2022185241A (ja) 2021-06-02 2022-12-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
TW202341227A (zh) * 2021-12-27 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置、電源系統、控制方法、程式及記憶媒體

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2734021B2 (ja) * 1988-11-08 1998-03-30 日本電気株式会社 プラズマ気相成長方法
JPH04180569A (ja) * 1990-11-13 1992-06-26 Ishikawajima Harima Heavy Ind Co Ltd プラズマcvd装置の制御方法
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
JPH0617102A (ja) * 1992-06-30 1994-01-25 Isuzu Motors Ltd 焼結固化方法
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치

Also Published As

Publication number Publication date
JP3122618B2 (ja) 2001-01-09
KR19980018889A (ko) 1998-06-05
JPH1064696A (ja) 1998-03-06
TW355812B (en) 1999-04-11
US5997687A (en) 1999-12-07

Similar Documents

Publication Publication Date Title
KR100415226B1 (ko) 플라즈마처리장치
US11742181B2 (en) Control method and plasma processing apparatus
US20230377843A1 (en) Control method and plasma processing apparatus
TWI588889B (zh) 電漿處理方法及電漿處理裝置
JP7297795B2 (ja) プラズマ処理装置及びプラズマ処理方法
US8264154B2 (en) Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US20220238313A1 (en) Apparatus for plasma processing and method of etching
JP3424182B2 (ja) 表面処理装置
US11791135B2 (en) Plasma processing apparatus and plasma processing method
JP2021097033A (ja) プラズマ処理装置及びプラズマ処理方法
JP2023501162A (ja) 基板の処理方法及び装置
WO2018233455A1 (zh) 偏压调制方法、偏压调制系统和等离子体处理设备
KR20210097027A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JPH07142453A (ja) プラズマエッチング装置
JPH07249614A (ja) プラズマエッチング方法及びその装置
US20200135430A1 (en) Plasma processing apparatus and plasma processing method
JP2021026904A (ja) プラズマ処理装置
JP2002222801A (ja) プラズマ処理装置および方法
US11705339B2 (en) Etching method and plasma processing apparatus
JPH097960A (ja) プラズマcvd方法及びその装置
JP7433165B2 (ja) プラズマ処理装置及び給電方法
CN109994354B (zh) 一种等离子体射频调节方法及等离子处理装置
KR100420533B1 (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법
KR102358938B1 (ko) 플라즈마 처리 장치의 튜너 프리셋 방법 및 플라즈마 처리 장치
JPH1197200A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20131218

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 14

EXPY Expiration of term