ES2363089T3 - Método para producir sustratos de ge virtuales para la integración iii/v sobre si (001). - Google Patents

Método para producir sustratos de ge virtuales para la integración iii/v sobre si (001). Download PDF

Info

Publication number
ES2363089T3
ES2363089T3 ES05733746T ES05733746T ES2363089T3 ES 2363089 T3 ES2363089 T3 ES 2363089T3 ES 05733746 T ES05733746 T ES 05733746T ES 05733746 T ES05733746 T ES 05733746T ES 2363089 T3 ES2363089 T3 ES 2363089T3
Authority
ES
Spain
Prior art keywords
layer
lepecvd
layers
plasma
gaas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
ES05733746T
Other languages
English (en)
Inventor
Hans Von Kaenel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DICHROIC CELL Srl
EpiSpeed SA
Original Assignee
EpiSpeed SA
DICHROIC CELL Srl
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EpiSpeed SA, DICHROIC CELL Srl filed Critical EpiSpeed SA
Application granted granted Critical
Publication of ES2363089T3 publication Critical patent/ES2363089T3/es
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02463Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Silicates, Zeolites, And Molecular Sieves (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

Un método de crecimiento de capas de arseniuro de galio sobre capas amortiguadoras de germanio relajadas sobre un sustrato de silicio (10), y el método incluye una etapa de crecimiento epitaxial de una capa amortiguadora de Ge (20) directamente sobre un sustrato de Si(001) desorientado mediante deposición química en fase vapor activada por plasma de baja energía (LEPECVD), seguida por una etapa seleccionada de una de un grupo de etapas que consiste en el recocido térmico y la estructuración de la capa depositada epitaxialmente y una etapa de crecimiento de una capa de arseniuro de galio directamente sobre dicha capa amortiguadora de Ge.

Description

Método para producir sustratos de GE virtuales para la integración III/V sobre Si(001).
Antecedentes de la invención
Ha habido muchos intentos de combinar las ventajas de los sustratos de Si grandes, de alta calidad, con las propiedades electrónicas y ópticas superiores de los semiconductores de compuestos III/V, tales como GaAs. La integración monolítica de los dispositivos optoelectrónicos de GaAs sobre sustratos de Si ha sido un objetivo durante más de dos décadas (para una revisión, véase por ejemplo Mat. Res. Soc. Symp. Proc. 116 (1989)). La desadaptación del 4% de la red cristalina entre GaAs y Si induce, sin embargo, grandes densidades de defectos cuando se pone a crecer epitaxialmente GaAs sobre Si, lo que conduce a propiedades muy degradadas (véase, por ejemplo, Ahrenkiel et al., J. Electrochem. Soc. 137, 996 (1990)).
Para reducir las densidades de defectos, se han ideado diversos tipos de capas amortiguadoras intermedias entre el sustrato de Si y la capa de GaAs. El objetivo de estas capas amortiguadoras epitaxiales es actuar como sustratos virtuales (VS) con un parámetro reticular cercano al de la capa de GaAs.
Así, el parámetro reticular del sustrato virtual debería ser de alrededor de un 4% mayor que el del sustrato de Si. Durante la epitaxia, una capa adapta normalmente su parámetro reticular lateral al del sustrato con tal de que sea lo suficientemente fina. Se debe poner a crecer, por tanto, una capa amortiguadora que actúe como sustrato virtual más allá del grosor crítico para la relajación plástica de deformaciones. Para que se dé la relajación de la deformación, debe haber presentes necesariamente dislocaciones inadaptadas en la interfase sustrato/capa amortiguadora.
Por otra parte, la superficie de la capa amortiguadora debería ser tan perfecta como sea posible para que la capa actúe como sustrato virtual. Los defectos más habituales son las dislocaciones penetrantes asociadas al proceso de la relajación plástica de deformaciones (véase, por ejemplo, Blakeslee, Mat. Res. Soc. Symp. Proc. 148, 217 (1989)).
Se han ideado diversas maneras de reducir la densidad de dislocaciones penetrantes en capas amortiguadoras relajadas. Una posibilidad es usar aleaciones Si_{1-x}Ge_{x} como capas amortiguadoras. Este esquema hace uso de la miscibilidad del silicio y el germanio a lo largo del intervalo de concentraciones completo de x = 0 a x = 1. En vez de poner a crecer una capa de aleación con una composición constante x, el contenido de Ge se incrementa gradualmente desde x = 0 hasta un valor final x = x_{f}. Se ha demostrado que esta graduación del contenido de Ge da como resultado densidades de dislocaciones penetrantes menores debido a una interacción de dislocaciones disminuida (véase, por ejemplo, la pat. de EE.UU. nº 5.221.413 de Brasen et al., y Fitzgerald et al., Appl. Phys. Lett. 58, 811 (1991)).
Las proporciones de graduación se deben mantener bajas para garantizar densidades de dislocaciones penetrantes bajas, preferiblemente por debajo del 10% por micrómetro (véase, por ejemplo, Li et al., J. Vac. Sci. Technol. B 16, 1610 (1998)). Las proporciones de graduación mayores se prefirieron, sin embargo, para sustratos virtuales puestos a crecer mediante un método de deposición en fase vapor conocido como deposición química en fase vapor a vacío muy elevado (UHV-CVD), debido a las proporciones de crecimiento muy bajas a la temperatura de sustrato baja utilizada (véase, por ejemplo, la pat. de EE.UU. nº 5.659.187 de Legoues et al.). La clase de métodos de deposición en fase vapor denominada en general deposición física en fase vapor tiene el problema adicional del agotamiento de la fuente, como es evidente en la epitaxia de haces moleculares (MBE), en la que es necesario recargar regularmente los evaporadores de haces de electrones (véase, por ejemplo, Hackbarth et al., Thin Solid Films 369, 148 (2000)).
Los sustratos virtuales hechos a partir de capas amortiguadoras de Si_{1-x}Ge_{x} graduadas tienen dos desventajas importantes: (1) requieren muchos micrómetros de crecimiento epitaxial para proporciones de graduación lo suficientemente bajas como para asegurar densidades de dislocaciones penetrantes bajas, (2) sus superficies son relativamente rugosas y están caracterizadas por la denominada morfología de surcos entrecruzados asociada al proceso de relajación (véase, por ejemplo, Lutz et al., Appl. Phys. Lett. 66, 724 (1995)).
Debido al gran grosor de la capa, el crecimiento epitaxial consume mucho tiempo para la mayoría de los métodos de la técnica anterior. En la CVD, las velocidades de crecimiento se pueden aumentar de manera sustancial solamente incrementando la temperatura del sustrato. Esto conduce, sin embargo, a una rugosidad superficial claramente incrementada. Las capas amortiguadoras puestas a crecer mediante UHV-CVD graduadas hasta Ge puro han exhibido una rugosidad superficial rms de 210 nm al ponerlas a crecer sobre sustratos de Si(001) en el eje. Se descubrió que los surcos en la superficie que presentaba surcos entrecruzados tenían una profundidad de 600 nm (véase, por ejemplo, la pat. de EE.UU. nº 6.039.803 de Fitzgerald et al.). Se demostró que los surcos estaban asociados a apilamientos de dislocaciones penetrantes debidos a una interacción incrementada de las dislocaciones. Se observaron superficies algo más lisas y menos apilamientos en los sustratos de Si cortados fuera del eje. La rugosidad rms, sin embargo, alcanzó 50 nm incluso en este caso, y los surcos más profundos todavía superaban los 400 nm (véase, por ejemplo, la pat. de EE.UU. nº 6.039.803 de Fitzgerald et al.).
Para mejorar la calidad de la superficie y disminuir la densidad de dislocaciones penetrantes, se descubrió en consecuencia que era necesaria una etapa de pulido químico-mecánico (CMP) intermedia después de graduar a x = 0,5 (véase, por ejemplo, la pat. de EE.UU. nº 6.107.653 de Fitzgerald, y Currie, et al., Appl. Phys. Lett. 72,1718 (1998)). Con tal procedimiento, se podría alcanzar una rugosidad superficial de 24 nm y una densidad de dislocaciones penetrantes (TD) de 2 x 10^{6} cm^{2}, lo suficientemente baja para integrar dispositivos III/V portadores minoritarios (véase, por ejemplo, Currie et al., Appl. Phys. Lett. 72,1718 (1998)). Se descubrió que esta densidad de TD era lo suficientemente baja como para permitir la fabricación de dispositivos portadores minoritarios de materiales basados en GaAs puestos a crecer en la parte superior de tales sustratos virtuales. Los ejemplos de tales dispositivos son las células solares (véase, por ejemplo, Ringel et al., Photovoltaic Energy Conversion, Vol. 1, 612 (2003)), y los diodos emisores de luz (véase, por ejemplo, V.K. Yang et al., "Monolithic integration of III-V optical interconnects on Si using SiGe virtual substrates", Journal of Materials Science: Materials in Electronics, vol. 13, nº 13 (julio de 2002) págs. 377-380), e incluso diodos láser (véase, por ejemplo, M.E. Groenert et al., "Monolithic integration of room-temperature cw GaAs/AlGaAs lasers on Si substrates via relaxed graded GeSi buffer layers", Journal of Applied Physics, vol. 93, nº 1 (1 de junio de 2003) págs. 362-367.
Una característica habitual de todos los procedimientos de CVD es su uso relativamente ineficaz de gases fuente caros, la mayoría de los cuales salen del reactor sin haber sido descompuestos e incorporados en la capa en crecimiento.
El único método de la técnica anterior capaz de hacer crecer capas amortiguadoras graduadas gruesas de una manera económica es el método de deposición en fase vapor conocido como deposición química en fase vapor activada por plasma de baja energía (LEPECVD) (véase, por ejemplo, C. Rosenblad et al., Appl. Phys. Lett. 76, 427 (2000)). La aplicación del método para la homoepitaxia rápida de Si y la heteroepitaxia de SiGe de capa deformada se han descrito en la pat. de EE.UU. nº 6.454.855 de von Känel et al., y en la solicitud PCT nº WO 98/58099 de von Känel et al.
Con LEPECVD, también las capas amortiguadoras relajadas que sirven como sustratos virtuales de SiGe se pueden hacer a crecer a velocidades medias superiores a 5 nm/s (véase, por ejemplo, el documento EP 1 315 199 A1 de von Känel). En la patente EP 1315 199, un sistema LEPECVD típico usa como fuente de DC de bajo voltaje la descarga de arco entre un filamento caliente en una cámara de plasma y las paredes de la cámara de crecimiento y/o ánodo auxiliar. Un sustrato sobre el cual se va a formar una capa de SiGe se expone directamente al plasma de alta intensidad pero baja energía. Su potencial es de alrededor de -12 V, por ejemplo, para excluir cualquier daño por iones de alta energía. El crecimiento epitaxial a velocidades superiores a 5 nm/s es posible incluso a temperaturas del sustrato por debajo de 600ºC. Las superficies son mucho más lisas que las que se pueden conseguir mediante otros métodos de la técnica anterior, con rugosidades rms del orden de 3 - 4 nm después de graduar hasta Ge puro. Los surcos entrecruzados todavía están presentes, sin embargo, aunque con variaciones de altura máxima muy reducidas, de aproximadamente 10 nm (véase, por ejemplo, von Känel et al., Jap. J. Appl. Phys. 39, 2050 (2000)). Esto está muy por debajo de los valores de rugosidad medidos en las capas amortiguadoras puestas a crecer mediante CVD, de manera que no es necesario ningún procedimiento de CMP para la epitaxia posterior de capas de semiconductores III/V.
Con LEPECVD también se pueden hacer crecer capas amortiguadoras relajadas que sirven como sustratos virtuales de SiGe a velocidades medias superiores a 5 nm/s (véase, por ejemplo, el documento EP 1 315 199 A1 de von Känel). El crecimiento epitaxial a estas velocidades es posible incluso a temperaturas del sustrato por debajo de 600ºC. Las superficies son mucho más lisas que las que se pueden conseguir mediante otros métodos de la técnica anterior, con una rugosidad rms del orden de 3 - 4 nm después de graduar hasta Ge puro. Los surcos entrecruzados todavía están presentes, sin embargo, con variaciones de altura máxima muy reducidas de aproximadamente 10 nm (véase, por ejemplo, von Känel et al., Jap. J. Appl. Phys. 39, 2050 (2000)). Esto está muy por debajo de los valores de rugosidad medidos en las capas amortiguadoras puestas a crecer mediante CVD, de manera que no es necesario ningún procedimiento de CMP para la epitaxia posterior de capas de semiconductores III/V.
Se han puesto a crecer capas de GaAs mediante el método de deposición en fase vapor conocido como deposición química en fase vapor con precursores organometálicos (MOCVD) sobre capas amortiguadoras de SiGe relajadas graduadas hasta Ge puro fabricadas mediante LEPECVD. Estas capas formaron la base para el primer láser de pozo cuántico de InGaAs deformado que funciona a temperatura ambiente a 1,04 \mum (véase, por ejemplo, la solicitud de patente europea nº EP 1 513 233 de von Känel et al., y Chriqui et al., El. Lett. 39, 1658 (2003)).
Uno de los problemas principales de las aproximaciones de la técnica anterior basadas en las capas amortiguadoras graduadas es que los grandes grosores de las capas implicadas, junto con los diferentes coeficientes de expansión térmica, favorecen la formación de grietas tras el enfriamiento desde la temperatura de crecimiento hasta la temperatura ambiente (véase, por ejemplo, Yang et al., J. Appl. Phys. 93, 3859 (2003)). La formación de grietas en el propio sustrato virtual se puede evitar graduando hasta un contenido final de Ge por debajo de x = 1, de manera que la cubierta de Ge puro está bajo un esfuerzo compresivo a la temperatura de crecimiento (véase, por ejemplo, M.T. Currie, et al., Appl. Phys. Lett. 72, 1718 (1998)). Esto resultó ser una desventaja, sin embargo, para el crecimiento de los dispositivos basados en GaAs que incorporaban canales de capas activas deformadas, tales como In_{x}Ga_{1-x}As. La deformación compresiva incrementada impuesta en los canales de InGaAs por la VS de Ge provocó que estos canales se relajas en por medio de dislocaciones inadaptadas en la interfase GaAs/InGaAs. La acción de láser, por lo tanto, no se obtuvo en ninguno de estos canales, excepto en el que tenía el grosor más pequeño de 5 nm (véase, por ejemplo, M.E. Groenert et al., "Improved room-temperature continuous wave GaAs/AlGaAs and InGaAs/GaAs/AlGaAs lasers fabricated on Si substrates via relaxed graded Ge_{x}Si_{1-x} buffer layers", Journal of Vacuum Science and Technology, vol. 21, nº 3 (Mayo/Junio de 2003) págs. 1064-1069).
Además, el gran grosor de las capas implicadas en la aproximación de la capa amortiguadora graduada sigue siendo claramente desventajoso.
Ha habido varias aproximaciones en la técnica anterior para la fabricación de capas amortiguadoras más finas sobre sustratos de Si adecuadas para el crecimiento posterior de GaAs. Una aproximación tal ha sido usar una capa intercalada compatible amorfa para aliviar la deformación en una capa de titanato de estroncio puesta a crecer epitaxialmente sobre Si (véase, por ejemplo, la patente de EE.UU. nº 2002/0030246 A1 de Eisenbeiser et al.).
Otra aproximación implica depositar epitaxialmente capas de Ge puro sobre sustratos de Si. Mediante el uso de CVD a presión atmosférica para depositar primero una capa base de Ge a una temperatura de sustrato baja, y después una segunda capa de Ge a una temperatura superior, se podría hacer crecer capas de Ge de un micrómetro de grosor con densidades de defectos sorprendentemente bajas (véase, por ejemplo, la pat. de EE.UU. nº 6.537.370 de Hernandez et al.). Estas capas fueron, sin embargo, rugosas, y necesitaron un pulido químico-mecánico antes de ser útiles como sustratos virtuales. Además, debido a que se descubrió que las capas puestas a crecer estaban deformadas de manera compresiva, estas capas se tuvieron que recocer antes del pulido químico-mecánico.
Luan et al. describió una aproximación estrechamente relacionada mediante el uso de UHV-CVD a velocidades de crecimiento mucho menores (véase Luan et al., Appl. Phys. Lett. 75, 2909 (1999)). En este caso, se observó una densidad de dislocaciones penetrantes de 2,3 x 10^{7} cm^{2} en películas de Ge de 1 \mum de grosor tras modificar cíclicamente la temperatura repetidamente. Se demostró que un procedimiento similar, igualmente lento con CVD a baja presión dio como resultado superficies lisas, con bajas rugosidades rms de 0,5 nm (véase, por ejemplo, Colace et al., Appl. Phys. Lett. 72, 3175 (1998)).
También se pusieron a crecer películas de Ge epitaxiales lisas de varios micrómetros de grosor mediante epitaxia de haz molecular (véase, por ejemplo, Sutter et al., Solar Energy Materials and Solar Cells 31, 541 (1994)). Se sabe, sin embargo, que este método también es lento, con velocidades de crecimiento que no superan unas cuantas décimas de nm/s.
También se ha usado la LEPECVD para depositar películas de Ge de un grosor mayor de 3 micrómetros a velocidades de 3,5 nm/s, que superan las de todos los demás métodos de la técnica anterior. Se descubrió que el recocido tras el crecimiento reducía la densidad de dislocaciones, como para los ejemplos mencionados anteriormente (véase von Känel et al., Jap. J. Appl. Phys. 39, 2050 (2000)). Estas capas de Ge se pusieron a crecer, sin embargo, en obleas de Si(001) orientadas exactamente. No serían adecuadas como sustratos virtuales para GaAs debido al problema de la formación de dominios de antifase. De hecho, en esta aproximación de la técnica anterior, no se proporcionaron pruebas de la idoneidad de las capas de Ge como sustratos virtuales para la epitaxia de GaAs. Además, el gran grosor de las capas de Ge crearía un problema de formación de grietas en la capa de GaAs que creciese encima.
Se ha conseguido la reducción adicional de la densidad de dislocaciones penetrantes mediante la estructuración artificial de las capas de Ge (véase, por ejemplo, Luan et al., Appl. Phys. Lett. 75, 2909 (1999)). Esta estructuración se realizó mediante el ataque químico de la película de Ge hasta el sustrato, exponiendo así la superficie de Si entre los elementos de Ge. Para los tamaños de elementos lo suficientemente pequeños del orden de 10 \mum, las dislocaciones penetrantes se pueden mover hacia las paredes laterales bajo la acción de una deformación inducida térmicamente durante los cambios de la temperatura del sustrato, de manera que desaparecen eficazmente (véase, por ejemplo, Luan et al., Appl. Phys. Lett. 75, 2909 (1999)).
Sumario de la invención
La invención se define en la reivindicación 1 de las reivindicaciones adjuntas.
Se pueden hacer crecer capas amortiguadoras de germanio relajadas de manera económica en obleas de silicio desorientadas mediante deposición química en fase vapor activada por plasma de baja energía. Junto con el recocido térmico y/o la estructuración, las capas amortiguadoras pueden servir como sustratos virtuales de alta calidad para el crecimiento de capas de GaAs exentas de grietas adecuadas para células solares de alta eficacia, láseres y transistores de efecto de campo.
La invención proporciona un método económico para hacer crecer capas amortiguadoras cristalinas simples sobre sustratos de Si. Estas capas amortiguadoras son adecuadas como sustratos virtuales para el crecimiento posterior de capas de compuestos semiconductores epitaxiales, tales como GaAs.
Otro objetivo de la invención es proporcionar velocidades grandes de crecimiento epitaxial, de al menos 5 nm/s.
Otro objetivo de la invención es el uso del método de deposición química en fase vapor activada por plasma de baja energía (LEPECVD) para depositar películas epitaxiales de Ge, preferiblemente menores de 5 micrómetros, e incluso más preferiblemente menores de un micrómetro de grosor.
Otro objetivo de la invención es proporcionar un método para el uso de gases fuente, germano, trimetil galio, trimetil aluminio, trimetil indio, y arsina con una eficacia de al menos un 20%, en el que la eficacia se define como la proporción entre el número de átomos de Ge, Ga, Al o In depositados sobre el sustrato de Si por unidad de tiempo, y el número de átomos de Ge, Ga, Al o In que entran en el reactor de crecimiento por unidad de tiempo.
Otro objetivo de la invención es proporcionar un método para reducir la densidad de dislocaciones penetrantes mediante el recocido térmico post-crecimiento de las capas epitaxiales de Ge.
Otro objetivo de la invención es proporcionar un método para la reducción adicional de defectos mediante la estructuración de la capa de Ge.
Otro objetivo de la invención es proporcionar un método para prevenir la formación de grietas en las capas de GaAs puestas a crecer sobre los sustratos virtuales de Ge.
Otro objetivo de la invención es prevenir la propagación de dislocaciones penetrantes a través de la capa epitaxial de GaAs.
Otro objetivo de la invención es evitar la formación de dominios de antifase en la capa de GaAs.
Otro objetivo de la invención es proporcionar un método para el crecimiento epitaxial rápido de semiconductores III/V.
Breve descripción de los dibujos
Los dibujos en color están presentes en la solicitud provisional de EE.UU. nº 60/567.128 del mismo título, de la que se reivindica prioridad bajo la Convención de París. Los dibujos están disponibles por una tasa en la oficina de patentes de los EE.UU. En la presente memoria se presentan dibujos en blanco y negro de los dibujos en color.
La Fig. 1 es una sección transversal esquemática de la estructura de una capa epitaxial sobre sustratos de silicio.
La Fig. 2 muestra la evolución de las densidades de dislocaciones penetrantes como función del grosor de la capa de Ge.
La Fig. 3 muestra la sección transversal esquemática de una capa epitaxial de Ge con una protección de óxido para una heteroestructura de la invención.
La Fig. 4 es otra variante de una estructura de capa epitaxial sobre sustratos de silicio.
La Fig. 5 es una imagen electrónica de transmisión de una sección transversal de una heteroestructura epitaxial de GaAs/Ge sobre Si.
La Fig. 6 es un mapa del espacio recíproco obtenido mediante difracción de rayos X de alta resolución en una heteroestructura de GaAs/Ge/Si(001).
La Fig. 7 es una proyección horizontal de una capa amortiguadora de Ge estructurada con una rejilla cuadrada de hendiduras.
La Fig. 8 es una sección transversal de una capa amortiguadora de Ge estructurada.
La Fig. 9 es una tabla que muestra datos de células solares hechas crecer sobre VS de Ge y obleas de Ge en bruto.
Descripción detallada de la(s) realización(es) preferida(s)
Una primera realización de la invención se muestra en la Fig. 1. La superficie de una oblea de Si (10) se limpia mediante un tratamiento químico húmedo o un tratamiento mediante plasma de hidrógeno. Después de cargar en el reactor de LEPECVD el esquema que se ha descrito, por ejemplo, en la patente de EE.UU. nº 6.454.855 de von Känel et al., la temperatura de la oblea se incrementa hasta aproximadamente 600ºC. A continuación, la capa amortiguadora de Ge (20) se pone a crecer epitaxialmente mediante deposición química en fase vapor activada por plasma de baja energía (LEPECVD), preferiblemente a una velocidad de al menos 5 nm/s. El grosor de la capa de Ge se elige preferiblemente para que esté en el intervalo de 0,75 a 5 \mum. Se ha demostrado mediante difracción de rayos X de alta resolución que las capas de Ge puestas a crecer de esta manera están relajadas hasta un 100%. La rugosidad superficial medida mediante AFM asciende generalmente hasta una rms de 1 nm.
La temperatura se eleva después por encima de 700ºC, preferiblemente hasta alrededor de 900ºC, durante alrededor de 10 minutos. En otra realización de la invención, se modifica cíclicamente la temperatura repetidamente entre aproximadamente 700ºC y 900ºC. Tal recocido por encima de 700ºC ha sido eficaz para reducir la densidad de dislocaciones penetrantes, tal como se determina mediante el recuento de pozos atacados, hasta menos de 1 x 10^{7} cm^{-2}, a la vez que se conservaba la llanura de la capa de Ge. Las etapas de recocido se pueden llevar a cabo en la cámara de crecimiento de LEPECVD o, preferiblemente, en un horno de recocido distinto, tal como un horno de recocido térmico rápido (RTA).
Con referencia a la Fig. 2, se muestra la densidad de dislocaciones penetrantes de las capas de Ge sometidas a recocido cíclico a 800ºC en la cámara de LEPECVD. Los resultados se obtuvieron mediante ataque químico húmedo combinado con microscopía de fuerza atómica y microscopía de contraste de interferencia óptica para el recuento de pozos atacados. Los sustratos (10) usados en este estudio fueron obleas de Si(001), desorientadas 6 grados hacia una dirección <110>. Como se observa en la figura, el recocido a dichas temperaturas bajas da lugar a una reducción sustancial de las densidades de pozos atacados.
Con referencia a la Fig. 3, en otra realización, la capa de Ge (20) se cubre con una capa de protección de óxido (25) antes de llevar a cabo las etapas de recocido. Se ha descubierto que una capa de dióxido de silicio de generalmente 100 nm de grosor proporciona una protección suficiente contra la producción de rugosidades superficiales durante el recocido, de forma que la temperatura de recocido se puede incrementar hasta cerca del punto de fusión del Ge de 937ºC. Después de la etapa de recocido y antes de cualquier etapa de crecimiento epitaxial adicional, la capa de protección de óxido (25) se elimina mediante ataque químico para exponer la superficie limpia de la capa de Ge (20).
Después de las etapas de recocido, el sustrato virtual de Ge (VS de Ge), que consiste en una oblea de Si con una capa amortiguadora epitaxial de Ge sobre ella, se introduce en una cámara de vacío diferente equipada para el crecimiento de compuestos semiconductores.
Después se pone a crecer epitaxialmente una capa de un semiconductor III/V, tal como por ejemplo GaAs (30), por ejemplo mediante epitaxia de haz molecular (MBE) o deposición química en fase vapor con precursores organometálicos (MOCVD). Esta capa puede estar compuesta de dos capas diferentes, por ejemplo con diferentes tipos y niveles de dopaje, para que sea útil para las estructuras de células solares o láseres.
En una realización preferida de la invención, el semiconductor III/V se pone a crecer mediante LEPECVD. El sistema básico y el procedimiento que se aplica a la epitaxia de los semiconductores del grupo IV se han descrito, por ejemplo, en la pat. de EE.UU. nº 6.454.855 de von Känel et al. En el caso de una capa de GaAs (30), por ejemplo, se introduce un gas reactivo que contiene Ga en la cámara de deposición de LEPECVD al mismo tiempo que un gas que contiene As. Se descubrió que era adecuado un gas que contenía Ga, por ejemplo trimetil-galio, a la vez que se puede usar un gas que contiene As, arsina (AsH_{3}). En comparación con las técnicas MBE y MOCVD, LEPECVD tiene la ventaja de proporcionar velocidades de crecimiento epitaxial superiores a 2 nm/s cuando el plasma es lo suficientemente denso.
Se pueden usar precursores organometálicos similares para In y Al, de manera que esta realización de la invención permite también la fabricación de heteroestructuras de AlGaAs/GaAs y InGaAs/GaAs. El dopaje de las heteroestructuras III/V se puede conseguir introduciendo gases de dopaje, diluidos por ejemplo con Ar, en la cámara de deposición de LEPECVD como se describió, por ejemplo, en la solicitud de patente europea nº EP 1 315 199 A1 de von Känel.
Se ha demostrado que las capas de GaAs puestas a crecer mediante MBE sobre VS que incluyen capas amortiguadoras de Ge, depositadas sobre obleas de Si(001) cortadas fuera del eje, exhiben eficacias de fotoluminiscencia cercanas a las capas de GaAs sobre obleas de Ge en bruto. Ambos tipos de sustratos se cortaron fuera del eje en 6 grados hacia la dirección [110]. Esto dio como resultado además la ausencia de dominios de antifase.
Otra realización de la invención se muestra en la Fig. 4. En ella, una capa amortiguadora de Si (12) se pone a crecer epitaxialmente mediante LEPECVD antes de depositar la capa de Ge. Preferiblemente, la capa (12) se hace crecer a una velocidad menor que la capa de Ge, por ejemplo por debajo de 1 nm/s. La capa de Si puede tener un grosor menor de 100 nm, y se puede poner a crecer a una temperatura mayor que la capa de Ge. Se ha demostrado que tal capa intermedia es útil para mejorar la calidad cristalina de la capa amortiguadora de Ge.
También puede ser preferible introducir una capa intermedia de GaAs (32) antes de poner a crecer la capa (30). Esta capa intermedia (32) se pone a crecer preferiblemente a una temperatura menor que la capa (30). La capa intermedia (32) puede ser eficaz para impedir que las dislocaciones penetrantes de la capa amortiguadora de Ge (20) penetren en la capa de GaAs (30).
La capa intermedia de GaAs (32) se puede poner a crecer, por ejemplo, mediante MBE a una temperatura de sustrato por debajo de 500ºC. La Fig. 5 muestra una imagen de microscopía electrónica de transmisión de una sección transversal a través de una estructura similar a la de la Fig. 4. De manera alternativa, la capa intermedia (32) se puede poner a crecer, por ejemplo, mediante epitaxia de capas atómicas (ALE), en la que se suministran secuencialmente Ga y As, lo que da como resultado una superred de Ga/As.
La calidad cristalina excelente de las capas amortiguadoras de Ge puestas a crecer según la invención se puede juzgar también a partir de los mapas de espacios recíprocos obtenidos mediante difracción de rayos X de alta resolución. La Fig. 6 muestra la región del espacio recíproco alrededor de la reflexión (004) simétrica. Aquí, Q_{x} es la coordenada del espacio recíproco paralela a la superficie del sustrato, y Q_{y} es la coordenada perpendicular a la superficie. A una Q_{y} grande, se puede observar la reflexión del sustrato de Si, mientras las reflexiones de GaAs y Ge se dan a una Q_{y} menor. Apenas hay una dispersión difusa entre las reflexiones agudas, lo que prueba la excelente calidad de las capas de Ge y GaAs.
Con referencia a la Fig. 7, en otra realización de la invención, la capa de Ge (20) de la Fig. 1 y de la Fig. 4 se estructura antes de poner a crecer las capas (30) y (32). La estructuración se puede realizar, por ejemplo, mediante una matriz cuadrada de hendiduras tal como se muestra en una proyección horizontal en la Fig. 7 y en una sección transversal a lo largo de la línea discontinua (véase la Fig. 7) en la Fig. 8. Las hendiduras paralelas tienen una separación de aproximadamente 10 a 20 \mum. La profundidad de las hendiduras es menor que el grosor de la capa (20) en las Fig. 1 y Fig. 4, a diferencia de lo descrito en Luan et al., Appl. Phys. Lett. 75, 2909 (1999), en donde el ataque químico se lleva a cabo hasta el sustrato, por lo que se expone la superficie de Si entre los elementos de Ge. Las hendiduras tienen preferiblemente una anchura de 1 a 2 micrómetros. Se pueden definir, por ejemplo, mediante fotolitografía, seguido de ataque químico húmedo. De manera alternativa, se puede formar una máscara adecuada para una etapa posterior de ataque químico húmedo mediante un procedimiento de impresión, en la que se aplica un polímero resistente a la disolución de ataque químico mediante un sello. Se debería hacer énfasis en que la estructuración no se limita al tipo perfilado en la Fig. 7. Con tal de que los tamaños de los elementos relevantes no excedan un tamaño crítico del orden de 10 - 20 \mum, y con tal de que la separación entre los elementos no sea demasiado grande (unos cuantos micrómetros como máximo), cualquier estructura dará como resultado una reducción de las densidades de dislocaciones penetrantes.
Después de la estructuración de la capa (20) se lleva a cabo una etapa de recocido a temperaturas por encima de 700ºC, preferiblemente hasta aproximadamente 900ºC. La etapa de recocido se puede repetir también mediante la modificación cíclica de la temperatura, preferiblemente entre 700ºC y 900ºC. Se espera que las dislocaciones penetrantes que se mueven bajo la acción de la tensión inducida térmicamente se muevan hacia las hendiduras, en donde se pueden recombinar. Esto dará como resultado densidades de dislocaciones penetrantes muy por debajo de las de las capas amortiguadoras de Ge planares.
Con referencia de nuevo a las Fig. 1 y Fig. 4, en otra realización de la invención, la capa (30) consiste en una capa de GaAs a la que se le ha añadido una pequeña cantidad de In. La concentración de In se mantiene baja, preferiblemente en el orden del 1 por ciento. El In añadido da como resultado una deformación compresiva de la capa (30) a la temperatura del sustrato usada para el crecimiento mediante MBE, MOCVD o LEPECVD. Esta deformación compresiva compensa la deformación por tracción inducida normalmente en la capa (30) durante el enfriamiento a temperatura ambiente. De esta manera se puede evitar completamente la formación de grietas en la capa (30). El In añadido, al estar a una concentración baja, da como resultado cambios menores en las propiedades electrónicas de la capa (30). Estos cambios se pueden reducir adicionalmente añadiendo el In solamente en parte de la capa (30), de manera que la parte eléctricamente activa continúa consistiendo en GaAs puro.
Se debe recalcar que la capa (30) no necesita siempre ser una capa homogénea. En contraste, la capa (30) puede estar compuesta de varias sub-capas que, por ejemplo, pueden estar dopadas de manera diferente. También puede contener capas de pozos cuánticos o capas de puntos cuánticos, ya que se sabe que son útiles para las aplicaciones en microelectrónica y en optoelectrónica. En particular, la capa (30) puede contener la región activa de células solares de alta eficacia. La capa (30) puede contener también la región activa de láseres de pozos cuánticos y de puntos cuánticos. De manera alternativa, la capa (30) puede contener las regiones activas de transistores de efecto de campo de dopado modulado.
La Fig. 9 es una tabla que compara los datos obtenidos en células solares de GaAs puestas a crecer sobre VS de Ge y obleas de Ge en bruto, respectivamente. Las células solares se han hecho crecer mediante MOCVD y se han procesado con procedimientos estándar usados para células solares de alta eficacia para aplicaciones espaciales. Sin embargo, no se aplicó ningún revestimiento antirreflectante. Las células cuadradas de 1 mm^{2} se iluminaron mediante una iluminación AM 1.5 a dos niveles diferentes de concentración. Según la Fig. 9, hay cierta pérdida en la corriente de cortocircuito I_{SC}, la tensión de circuito abierto V_{OC} y el factor de forma FF al usar VS de Ge en vez de obleas de Ge en bruto. Las ganancias ofrecidas por unos costes de fabricación mucho menores pueden tener mayor peso, sin embargo, que la pérdida de rendimiento.
También se debe recalcar que las condiciones de deposición en el reactor de LEPECVD (flujo másico de los gases reactivos, forma y densidad de la columna de plasma, corriente y voltaje de la descarga de arco) se pueden elegir de tal manera que se optimice el uso de gases fuente caros, tales como germano, metil galio y arsina. Se ha demostrado que se puede obtener un factor de utilización de gas GUF superior al 20% mediante LEPECVD. Aquí, el GUF se define como el número de átomos de Ge depositados sobre el sustrato por unidad de tiempo, dividido por el número de átomos de Ge que entran en el reactor por unidad de tiempo.
El grosor relativamente pequeño necesario para las capas amortiguadoras de Ge depositadas directamente sobre las obleas de Si, junto con el GUF elevado, hacen que la LEPECVD sea una técnica rentable para la producción de sustratos virtuales de Ge.
Como ventaja, la invención proporciona un método económico para hacer crecer GaAs sobre capas amortiguadoras de germanio relajadas sobre un sustrato de Si(001) desorientado.
Como otra ventaja, a diferencia de la aproximación descrita en la pat. de EE.UU. nº 6.537.370 de Hernandez et al, que dio como resultado el crecimiento de capas que eran rugosas y necesitaban un pulido químico-mecánico antes de ser útiles como sustratos virtuales, y que además requerían un recocido antes del pulido químico-mecánico, las capas de Ge hechas crecer mediante el procedimiento de la invención no requieren ningún recocido para relajarse, y tampoco es necesaria ninguna etapa de pulido químico-mecánico para reducir la rugosidad superficial.
Como otra ventaja, la invención proporciona un medio para reducir la densidad de dislocaciones penetrantes mediante la estructuración artificial de las capas de Ge de una manera que no requiere el ataque químico de la película de Ge hasta el sustrato, por lo que se evita la exposición de la superficie de Si entre los elementos de Ge.
Son posibles múltiples variaciones y modificaciones en las realizaciones de la invención descritas en la presente memoria dentro del alcance de las reivindicaciones adjuntas. Aunque se han demostrado y descrito ciertas realizaciones ilustrativas de la invención en la presente memoria, se contempla una amplia diversidad de modificaciones, cambios y sustituciones en la descripción anterior. En ciertos casos, se pueden emplear ciertas características de la presente invención sin el uso correspondiente de las otras características. Por lo tanto, es apropiado que la descripción anterior se interprete ampliamente y se entienda que se proporciona a modo de ilustración y ejemplo únicamente, y que el espíritu y el alcance de la invención están limitados solamente por las reivindicaciones adjuntas.

Claims (29)

1. Un método de crecimiento de capas de arseniuro de galio sobre capas amortiguadoras de germanio relajadas sobre un sustrato de silicio (10), y el método incluye una etapa de crecimiento epitaxial de una capa amortiguadora de Ge (20) directamente sobre un sustrato de Si(001) desorientado mediante deposición química en fase vapor activada por plasma de baja energía (LEPECVD), seguida por una etapa seleccionada de una de un grupo de etapas que consiste en el recocido térmico y la estructuración de la capa depositada epitaxialmente y una etapa de crecimiento de una capa de arseniuro de galio directamente sobre dicha capa amortiguadora de Ge.
2. El método de la reivindicación 1, que incluye las etapas de:
(a) limpiar la superficie de una oblea de Si (10) mediante un tratamiento químico húmedo o un tratamiento con plasma de hidrógeno;
(b) cargar la oblea de Si en un reactor de deposición química en fase vapor activada por plasma de baja energía (LEPECVD);
(c) incrementar la temperatura del reactor de LEPECVD hasta aproximadamente 600ºC;
(d) poner a crecer epitaxialmente una capa amortiguadora de Ge (20) mediante LEPECVD, hasta que se alcanza un grosor de la capa de Ge dentro del intervalo de 0,75 a 5 \mum, por lo que se relajan las capas de Ge y se reduce la rugosidad superficial medida mediante AFM que asciende generalmente a una rms de 1 nm;
(e) elevar la temperatura por encima de 700ºC, preferiblemente hasta alrededor de 900ºC, durante alrededor de 10 minutos en el reactor de LEPECVD o en un horno de recocido distinto; y
(f) cargar la oblea de Si en otra cámara de deposición; y
(g) poner a crecer una capa de GaAs (30) mediante el uso del método de deposición en fase vapor.
3. El método de la reivindicación 2, en el que la capa de Ge (20) se cubre con una capa de protección de óxido (25) antes de llevar a cabo las etapas de recocido, y en el que, tras las etapas de recocido, la capa de óxido se elimina de nuevo.
4. El método de la reivindicación 3, en el que la capa de protección de óxido (25) es una capa de dióxido de silicio de un grosor en general de 100 nm.
5. El método de la reivindicación 1, que incluye las etapas de:
(a) limpiar la superficie de una oblea de Si (10) mediante un tratamiento químico húmedo o un tratamiento con plasma de hidrógeno;
(b) cargar la oblea de Si en un reactor de deposición química en fase vapor activada por plasma de baja energía (LEPECVD);
(c) incrementar la temperatura del reactor de LEPECVD hasta aproximadamente 600ºC
(d) poner a crecer epitaxialmente una capa amortiguadora de Ge (20) mediante LEPECVD, hasta que se alcanza un grosor de la capa de Ge dentro del intervalo de 0,75 a 5 \mum, por lo que se relajan las capas de Ge y se reduce la rugosidad superficial medida mediante AFM que asciende generalmente a una rms de 1 nm;
(e) modificar cíclicamente la temperatura repetidamente entre aproximadamente 700ºC y 900ºC en el reactor de LEPECVD o en un horno de recocido distinto, por lo que se recuece la heteroestructura, para reducir la densidad de dislocaciones penetrantes a la vez que se conserva la llanura de la capa de Ge; y
(f) cargar la oblea de Si en otra cámara de deposición; y
(g) poner a crecer una capa de GaAs (30) mediante el uso de un método de deposición en fase vapor.
6. El método de la reivindicación 5, en el que la capa de Ge (20) se cubre con una capa de protección de óxido (25) antes de llevar a cabo las etapas de recocido y en el que, tras las etapas de recocido, la capa de óxido se elimina de nuevo.
7. El método de la reivindicación 6, en el que la capa de protección de óxido (25) es una capa de dióxido de silicio de un grosor en general de 100 nm.
8. El método de una de las reivindicaciones 2 y 5, en el que la capa de GaAs (30) de la etapa (g) está compuesta de diferentes capas, por ejemplo con diferentes tipos y niveles de dopaje, para que sea útil en estructuras de células solares o de láseres.
9. El método de una de las reivindicaciones 2 y 5, en el que la capa (30) está compuesta de varias sub-capas que están dopadas de diferente manera.
10. El método de la reivindicación 9, en el que la capa (30) contiene capas de pozos cuánticos o capas de puntos cuánticos, que se sabe que son útiles para aplicaciones de microelectrónica y optoelectrónica, o una región activa de células solares de alta eficacia; o una región activa de láseres de pozos cuánticos y de puntos cuánticos, o una región activa de transistores de efecto de campo de dopado modulado.
11. Un método de crecimiento de capas de arseniuro de galio sobre capas amortiguadoras de germanio sobre un sustrato de silicio desorientado, que incluye las etapas de:
(a) limpiar la superficie de una oblea de Si mediante un tratamiento químico húmedo o un tratamiento con plasma de hidrógeno;
(b) cargar la oblea de Si en un reactor de deposición química en fase vapor activada por plasma de baja energía (LEPECVD);
(c) incrementar la temperatura del reactor de LEPECVD hasta aproximadamente 600ºC;
(d) poner a crecer epitaxialmente una capa amortiguadora de Si (12) mediante LEPECVD;
(e) poner a crecer epitaxialmente una capa amortiguadora de Ge (20) mediante LEPECVD, por lo que se crea una heteroestructura, hasta que se alcanza un grosor de la capa de Ge dentro del intervalo de 0,75 a 5 \mum, por lo que se relajan las capas de Ge y se reduce la rugosidad superficial medida mediante AFM que asciende generalmente a una rms de 1 nm;
(f) recocer la heteroestructura elevando la temperatura por encima de 700ºC, en el reactor de LEPECVD o en un horno de recocido distinto, durante alrededor de 10 minutos;
(g) cargar la oblea de Si en otra cámara de deposición; y
(h) poner a crecer una capa de GaAs (30) mediante el uso de un método de deposición en fase vapor.
12. Un método de crecimiento de capas de arseniuro de galio sobre capas amortiguadoras de germanio sobre un sustrato de silicio desorientado, que incluye las etapas de:
(a) limpiar la superficie de una oblea de Si mediante un tratamiento químico húmedo o un tratamiento con plasma de hidrógeno;
(b) cargar la oblea de Si en un reactor de deposición química en fase vapor activada por plasma de baja energía (LEPECVD);
(c) incrementar la temperatura del reactor de LEPECVD hasta aproximadamente 600ºC
(d) poner a crecer epitaxialmente una capa amortiguadora de Si (12) mediante LEPECVD;
(e) poner a crecer epitaxialmente una capa amortiguadora de Ge (20) mediante LEPECVD, por lo que se crea una heteroestructura, hasta que se alcanza un grosor de la capa de Ge dentro del intervalo de 0,75 a 5 \mum, por lo que se relajan las capas de Ge y se reduce la rugosidad superficial medida mediante AFM que asciende generalmente a una rms de 1 nm;
(f) modificar cíclicamente la temperatura repetidamente entre aproximadamente 700ºC y 900ºC en el reactor de LEPECVD o en un horno de recocido distinto, por lo que se recuece la heteroestructura, para reducir la densidad de dislocaciones penetrantes a la vez que se conserva la llanura de la capa de Ge;
(g) cargar la oblea de Si en otra cámara de deposición; y
(h) poner a crecer una capa de GaAs (30) mediante el uso de un método de deposición en fase vapor.
13. El método de las reivindicaciones 11 y 12, en el que la capa de Ge (20) se cubre con una capa de protección de óxido (25) antes de llevar a cabo las etapas de recocido y en el que, tras las etapas de recocido, la capa de óxido se elimina de nuevo.
14. El método de la reivindicación 13, en el que la capa de protección de óxido (25) es una capa de dióxido de silicio de un grosor en general de 100 nm.
15. El método de una de las reivindicaciones 11 y 12, en el que la capa amortiguadora de Si (12) se hace crecer a una velocidad menor que la capa de Ge, por ejemplo por debajo de 1 nm/s.
16. El método de una de las reivindicaciones 2, 5, 11 y 12, en el que se pone a crecer una primera subcapa de GaAs (32) antes de poner a crecer una segunda subcapa de GaAs (30), por lo que se ayuda a reducir el número de dislocaciones penetrantes que penetran desde la capa amortiguadora de Ge (20) hasta la subcapa de GaAs (30).
17. El método de la reivindicación 16, en el que la primera subcapa (32) se pone a crecer mediante epitaxia de capas atómicas (ALE), en el que se suministran Ga y As secuencialmente, lo que da como resultado una superred de Ga/As.
18. El método de una de las reivindicaciones 2, 5, 11 y 12 en el que la capa de Ge (20) está estructurada, y la estructuración se hace mediante una matriz cuadrada de hendiduras o en hendiduras paralelas que tienen una separación de aproximadamente 10 a 20 \mum.
19. El método de la reivindicación 18, en el que la profundidad de las hendiduras es menor que el grosor de la capa (20).
20. El método de una de las reivindicaciones 18, 19 en el que las hendiduras tienen preferiblemente una anchura de 1 a 2 micrómetros.
21. El método de la reivindicación 1, en el que la capa de Ge (20) está estructurada, y la estructuración está compuesta de hendiduras definidas mediante fotolitografía, seguida por un ataque químico con iones reactivos, en el que un polímero actúa como una máscara de ataque.
22. El método de la reivindicación 1, en el que la capa de Ge (20) está estructurada, y la estructuración está compuesta de hendiduras definidas mediante fotolitografía, seguida por un ataque químico húmedo.
23. El método de la reivindicación 1, en el que la capa de Ge (20) está estructurada, y la estructuración está compuesta de hendiduras definidas mediante una máscara adecuada para una etapa posterior de ataque químico húmedo que se puede formar mediante un proceso de impresión, en el que se aplica un polímero resistente a la disolución de ataque químico mediante un sello.
24. El método de la reivindicación 1, en el que la capa de Ge (20) está estructurada, y la estructuración incluye elementos en los que el tamaño del elemento no supera un tamaño crítico del orden de 10 - 20 \mum, y en el que la separación entre los elementos es del orden de unos cuantos micrómetros, para producir una estructura que da como resultado la reducción de las densidades de dislocaciones penetrantes.
25. El método de la reivindicación 1, en el que la capa de Ge (20) está estructurada, y tras la estructuración de la capa (20), se lleva a cabo una etapa de recocido hasta temperaturas superiores a 700ºC, para inducir el movimiento de las dislocaciones penetrantes hacia las hendiduras.
26. El método de la reivindicación 1, en el que la capa de Ge (20) está estructurada, y la etapa de recocido se repite mediante modificación cíclica de la temperatura, preferiblemente entre 700ºC y 900ºC para inducir el movimiento de las dislocaciones penetrantes hacia las hendiduras.
27. El método de una de las reivindicaciones 2, 5, 11 y 12, en el que la capa (30) comprende una capa de GaAs a la que se le ha añadido una pequeña cantidad de In, en el que la concentración de In se mantiene baja, lo que da como resultado una deformación compresiva de la capa (30) a la temperatura del sustrato usada para el crecimiento mediante MBE o MOCVD.
28. El método de cualquiera de las reivindicaciones anteriores, que incluye además la etapa de poner a crecer una capa de arseniuro de galio (30) mediante deposición química en fase vapor activada por plasma de baja energía (LEPECVD).
29. El método de la reivindicación 28, en el que para hacer crecer la capa de GaAs (30) se introduce un gas reactivo que contiene Ga, tal como trimetil-galio, en la cámara de deposición de LEPECVD al mismo tiempo que un gas que contiene As, tal como arsina (AsH_{3}), para conseguir velocidades de crecimiento epitaxial superiores a 2 nm/s cuando el plasma es lo suficientemente denso.
ES05733746T 2004-04-30 2005-05-02 Método para producir sustratos de ge virtuales para la integración iii/v sobre si (001). Active ES2363089T3 (es)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56712804P 2004-04-30 2004-04-30
US567128P 2004-04-30

Publications (1)

Publication Number Publication Date
ES2363089T3 true ES2363089T3 (es) 2011-07-20

Family

ID=34967439

Family Applications (1)

Application Number Title Priority Date Filing Date
ES05733746T Active ES2363089T3 (es) 2004-04-30 2005-05-02 Método para producir sustratos de ge virtuales para la integración iii/v sobre si (001).

Country Status (7)

Country Link
US (1) US8882909B2 (es)
EP (1) EP1745165B1 (es)
AT (1) ATE503866T1 (es)
DE (1) DE602005027196D1 (es)
ES (1) ES2363089T3 (es)
PT (1) PT1745165E (es)
WO (1) WO2005108654A1 (es)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2316680T3 (es) * 2003-09-05 2009-04-16 Epispeed S.A. Laseres de ingaas/gaas sobre silicio producidos mediante lepecvd y mocvd.
WO2006012544A2 (en) * 2004-07-22 2006-02-02 The Board Of Trustees Of The Leland Stanford Junior University Germanium substrate-type materials and approach therefor
WO2010042981A1 (en) * 2008-10-14 2010-04-22 Shaun Joseph Cunningham Photo-voltaic device
WO2010075606A1 (en) * 2008-12-29 2010-07-08 Shaun Joseph Cunningham Improved photo-voltaic device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102011003409B4 (de) * 2011-01-31 2016-03-31 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verfahren zur Herstellung einer Germaniumschicht auf einem gitterfehlangepassten Substrat und Verfahren zur Herstellung eines integrierten Halbleiterbauelements
FR2972567B1 (fr) * 2011-03-09 2013-03-22 Soitec Silicon On Insulator Méthode de formation d'une structure de ge sur iii/v sur isolant
TWI521600B (zh) * 2011-06-03 2016-02-11 應用材料股份有限公司 在矽基材上形成高生長速率低電阻率的鍺膜之方法〈一〉
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011077542B4 (de) * 2011-06-15 2020-06-18 Osram Opto Semiconductors Gmbh Optoelektronischer halbleiterkörper und verfahren zur herstellung eines optoelektronischen halbleiterkörpers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10158044B2 (en) 2011-12-03 2018-12-18 Sensor Electronic Technology, Inc. Epitaxy technique for growing semiconductor compounds
US10490697B2 (en) 2011-12-03 2019-11-26 Sensor Electronic Technology, Inc. Epitaxy technique for growing semiconductor compounds
US9831382B2 (en) * 2011-12-03 2017-11-28 Sensor Electronic Technology, Inc. Epitaxy technique for growing semiconductor compounds
WO2013116622A1 (en) * 2012-02-01 2013-08-08 Sensor Electronic Technology, Inc. Epitaxy technique for reducing threading dislocations in stressed semiconductor compounds
US9214577B2 (en) 2012-02-28 2015-12-15 International Business Machines Corporation Reduced light degradation due to low power deposition of buffer layer
US20130224899A1 (en) * 2012-02-28 2013-08-29 International Business Machines Corporation Enhancing efficiency in solar cells by adjusting deposition power
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014140082A1 (en) * 2013-03-13 2014-09-18 Pilegrowth Tech S.R.L. High efficiency solar cells on silicon substrates
KR102077447B1 (ko) * 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
KR102148336B1 (ko) 2013-11-26 2020-08-27 삼성전자주식회사 표면 처리 방법, 반도체 제조 방법 및 이에 의해 제조된 반도체 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
BR102014023333A2 (pt) * 2014-09-19 2016-05-10 Ct Nac De Pesquisa Em En E Materiais processo para produção de dispositivos optoeletrônicos iii-v integrados em silício
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
FR3028094B1 (fr) 2014-11-05 2018-02-02 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de determination de parametres de depot preferentiels pour une couche mince en materiau iii-v
US9368415B1 (en) 2015-02-25 2016-06-14 International Business Machines Corporation Non-destructive, wafer scale method to evaluate defect density in heterogeneous epitaxial layers
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR20180022998A (ko) * 2015-07-03 2018-03-06 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10644187B2 (en) 2015-07-24 2020-05-05 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
EP3326203B1 (en) 2015-07-24 2024-03-06 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) * 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10122153B2 (en) 2016-08-29 2018-11-06 International Business Machines Corporation Resonant cavity strained group III-V photodetector and LED on silicon substrate and method to fabricate same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3685450A1 (en) * 2017-09-18 2020-07-29 Tty-Säätiö Sr. Semiconductor multilayer structure
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110660655B (zh) * 2019-09-30 2022-05-03 闽南师范大学 一种无气泡无穿透位错Ge/Si异质混合集成方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111681951B (zh) * 2020-07-31 2023-01-24 广东省大湾区集成电路与系统应用研究院 一种半导体结构及其制造方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112382657B (zh) * 2020-11-16 2022-03-18 中国科学院物理研究所 图形硅衬底-硅锗薄膜复合结构及其制备方法和应用
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115084308B (zh) * 2021-03-15 2023-07-21 中国科学院物理研究所 锗衬底-砷化镓/锗异质结薄膜复合结构及其制法和应用
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024019381A1 (ko) * 2022-07-18 2024-01-25 주성엔지니어링(주) 반도체 소자 제조방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
US6039803A (en) 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
DE59811474D1 (de) 1997-06-13 2004-07-01 Unaxis Trading Ag Truebbach Verfahren zur herstellung von werkstücken, die mit einer epitaktischen schicht beschichtet sind
WO1998059365A1 (en) 1997-06-24 1998-12-30 Massachusetts Institute Of Technology CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
FR2783254B1 (fr) 1998-09-10 2000-11-10 France Telecom Procede d'obtention d'une couche de germanium monocristallin sur un substrat de silicium monocristallin,et produits obtenus
DE60039875D1 (de) * 1999-06-25 2008-09-25 Massachusetts Inst Technology Zyklisches thermisches ausheilverfahren zur reduktion von kristallversetzungen
US20020030246A1 (en) 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
EP1315199A1 (en) 2001-11-22 2003-05-28 ETH Zürich Formation of high-mobility silicon-germanium structures by low-energy plasma enhanced chemical vapor deposition
ES2316680T3 (es) * 2003-09-05 2009-04-16 Epispeed S.A. Laseres de ingaas/gaas sobre silicio producidos mediante lepecvd y mocvd.

Also Published As

Publication number Publication date
WO2005108654A1 (en) 2005-11-17
PT1745165E (pt) 2011-06-30
EP1745165B1 (en) 2011-03-30
US8882909B2 (en) 2014-11-11
DE602005027196D1 (de) 2011-05-12
US20070231488A1 (en) 2007-10-04
EP1745165A1 (en) 2007-01-24
ATE503866T1 (de) 2011-04-15

Similar Documents

Publication Publication Date Title
ES2363089T3 (es) Método para producir sustratos de ge virtuales para la integración iii/v sobre si (001).
US8237175B2 (en) Optical devices featuring textured semiconductor layers
Ren et al. Wide energy bandgap electronic devices
KR100304881B1 (ko) Gan계화합물반도체및그의결정성장방법
KR20180053652A (ko) 그라파이트 기판 상에 나노와이어 또는 나노피라미드를 성장시키는 방법
CN102598317A (zh) 多孔氮化物半导体上的高品质非极性/半极性半导体器件及其制造方法
WO2007053624A2 (en) Optical devices featuring textured semiconductor layers
Mbeunmi et al. Direct growth of GaAs solar cells on Si substrate via mesoporous Si buffer
CN106207752A (zh) 一种Si基大功率激光器及其制备方法
WO2013145404A1 (ja) オフ角を備えているシリコン単結晶とiii族窒化物単結晶の積層基板
US6139629A (en) Group III-nitride thin films grown using MBE and bismuth
US20070212879A1 (en) Formation of lattice-tuning semiconductor substrates
US8242003B1 (en) Defect removal in Ge grown on Si
Chyi et al. Formation of self-organized In0. 5Ga0. 5As quantum dots on GaAs by molecular beam epitaxy
US8053263B2 (en) Method of manufacturing semiconductor light emitting device
JP2006324512A (ja) 窒化物半導体薄膜およびその製造方法
KR101391960B1 (ko) 저결함 질화물 반도체층을 갖는 고품질 반도체 소자용 기판의 제조 방법
CN109920883A (zh) 氮化镓基发光二极管外延片及其制造方法
KR100623268B1 (ko) Ⅲ족 질화물 반도체 기판 및 그 제조방법
Hanna et al. MOCVD growth and optical characterization of strain-induced quantum dots with InP island stressors
JP2001126985A (ja) 化合物半導体基板
CN109378368B (zh) 在PSS衬底上沿半极性面外延生长GaN基片的方法
Junesand et al. Heteroepitaxial growth of indium phosphide from nano-openings made by masking on a Si (001) wafer
RU135186U1 (ru) Полупроводниковое светоизлучающее устройство
Zhang Pattern dependent lateral epitaxial overgrowth of gallium nitride by metalorganic chemical vapor deposition