US7032614B2 - Facilities connection box for pre-facilitation of wafer fabrication equipment - Google Patents

Facilities connection box for pre-facilitation of wafer fabrication equipment Download PDF

Info

Publication number
US7032614B2
US7032614B2 US10/335,968 US33596803A US7032614B2 US 7032614 B2 US7032614 B2 US 7032614B2 US 33596803 A US33596803 A US 33596803A US 7032614 B2 US7032614 B2 US 7032614B2
Authority
US
United States
Prior art keywords
isolation
facilities
connection box
facilities connection
compartment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US10/335,968
Other versions
US20030173490A1 (en
Inventor
Alan Rick Lappen
Ronald V. Schauer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/906,395 external-priority patent/US7063301B2/en
Priority claimed from US10/099,900 external-priority patent/US20020162938A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/335,968 priority Critical patent/US7032614B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHAUER, RONALD VERN, LAPPEN, ALAN RICK
Publication of US20030173490A1 publication Critical patent/US20030173490A1/en
Application granted granted Critical
Publication of US7032614B2 publication Critical patent/US7032614B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q1/00Members which are comprised in the general build-up of a form of machine, particularly relatively large fixed members
    • B23Q1/01Frames, beds, pillars or like members; Arrangement of ways
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/5762With leakage or drip collecting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87885Sectional block structure

Definitions

  • This invention relates generally to apparatus for facilitating installation and use of wafer fabrication equipment in a manufacturing environment.
  • Buildings employed for production of semiconductor wafers are specially designed to accommodate heavy manufacturing equipment and the many fluid, gas, vacuum and electrical lines (i.e., facilities lines) that are necessary for semiconductor manufacturing.
  • facilities lines i.e., facilities lines
  • a material to be conducted by a facilities line is of a hazardous nature, and the facilities line is therefore provided as a double-containment line, to minimize the risk of the material escaping from the facilities line.
  • SEMI Semiconductor Equipment Manufacturing Institute
  • SEMI Semiconductor Equipment Manufacturing Institute
  • the proposed facilities connection locators facilitate pre-plumbing of facilities lines so that connection of facilities to the semiconductor manufacturing equipment can be more easily performed.
  • SEMI proposal, and other proposals in regard to facilities connection locators have not addressed the particular requirements of providing for convenient pre-plumbing of double-containment lines which carry hazardous materials.
  • a first aspect of the invention provides a facilities connection box that is adapted to couple double-containment lines to semiconductor manufacturing equipment.
  • the inventive facilities connection box includes an enclosure, a first isolation compartment defined inside the enclosure, a second isolation compartment defined inside the enclosure, and an isolation partition which defines a boundary between the first and second isolation compartments.
  • the inventive facilities connection box also includes a first entry port associated with the first isolation compartment and adapted to couple to a first incoming double-containment fluid line and a first exit port associated with the first isolation compartment and adapted to permit egress of a first outgoing fluid line from the first isolation compartment.
  • the inventive facilities connection box further includes a second entry port associated with the second isolation compartment and adapted to couple to a second incoming double-containment fluid line, and a second exit port associated with the second isolation compartment and adapted to permit egress of a second outgoing fluid line from the second isolation compartment.
  • the first isolation compartment is adapted to accommodate a fluid flow path between the first entry port and the first exit port
  • the second isolation compartment is adapted to accommodate a fluid flow path between the second entry port and the second exit port.
  • a facilities connection box adapted to couple double-containment lines to semiconductor manufacturing equipment.
  • the inventive facilities connection box according to the second aspect of the invention includes an enclosure, and a plurality of isolation compartments contained within the enclosure. Each isolation compartment is divided from an adjacent isolation compartment by an isolation partition. Each isolation compartment has associated with it a respective entry port adapted to couple to a respective incoming double-containment fluid line and a respective exit port adapted to permit egress of a respective outgoing fluid line from the respective isolation compartment.
  • Each aspect may further include a coupling connector installed within the isolation compartment to couple a double-containment facility line (which enters the isolation compartment via the entry port) with an existing line which is bound for a semiconductor device manufacturing tool.
  • the inventive apparatus accommodates pre-plumbing of facilities lines, including double-containment lines carrying hazardous materials, to a location at which semiconductor manufacturing equipment is to be installed.
  • the inventive facilities connection box may be installed in conjunction with a support pedestal on which the semiconductor manufacturing equipment is to be installed.
  • the inventive facilities connection box may present a standardized facilities interface to the semiconductor manufacturing equipment, thereby aiding in efficient installation of the semiconductor manufacturing equipment.
  • the inventive facilities connection box provides isolation of hazardous material facilities lines from each other, thereby minimizing risks and adverse consequences of material leaks, and surrounds the area that is most likely to leak (i.e., the connection between the double containment line and the line that couples to the semiconductor device manufacturing equipment), with a drip container and optionally with exhaust containment.
  • a source of rinsing/neutralizing fluid, a leak detection sensor, and a manually or automatically controllable drain may be associated with each isolation compartment to detect and address any leak which occurs therein.
  • the inventive facilities connection box may also accommodate facilities lines which are not double-containment lines and do not carry hazardous materials.
  • FIG. 1 is a schematic top perspective view of manufacturing equipment installed at a manufacturing location in accordance with the prior art
  • FIG. 2 is a schematic top perspective view of the proposed SEMI rectangular support pedestal installed at a manufacturing location
  • FIG. 3 is a schematic top perspective view of the proposed SEMI rectangular support pedestal with manufacturing equipment installed on the support pedestal;
  • FIG. 4 is a schematic top perspective view of one embodiment of an inventive support pedestal
  • FIG. 5 is a schematic top perspective view of the support pedestal of FIG. 4 installed at a manufacturing location and having manufacturing equipment mainframe attached thereto;
  • FIG. 6 is a schematic top perspective view of an alternative embodiment of the support pedestal of the present invention installed at a manufacturing location
  • FIG. 7 is a schematic top perspective view of the support pedestal of FIG. 6 installed at a factory location with manufacturing equipment installed thereon;
  • FIG. 8 is a schematic top perspective view of the support pedestal of the present invention installed below the level of a raised floor;
  • FIG. 9 is a side view of the embodiment of FIG. 8 with manufacturing equipment installed thereon;
  • FIG. 10 is a side view of gooseneck connectors at an inventive facilities connection locator
  • FIG. 11 is an isometric view, taken from above, of a manufacturing equipment support apparatus, showing an improved facilities connection locator coupled thereto;
  • FIG. 12 is an exploded close up isometric view of the facilities connection locator of FIG. 11 , taken from above;
  • FIG. 13 is an isometric side view, taken slightly from below, of a facilities connection line of FIG. 11 ;
  • FIG. 14 is a side view showing the facilities connection line of FIG. 13 coupled to a facilities connection port in a fixed relationship;
  • FIG. 15A is a bottom isometric view of a first fluid line connector
  • FIG. 15B is a bottom isometric view of a second fluid line connector
  • FIG. 16 is an exploded close up isometric view of the facilities connection locator of FIG. 11 , taken from below;
  • FIG. 17 is a close up isometric view of the facilities connection locator of FIG. 11 taken from above and at an angle that better shows a riser surrounding a facilities connection plate thereof;
  • FIG. 18 is an isometric view, taken from above, of a manufacturing equipment support apparatus, showing an improved facilities connection locator coupled thereto and having additional features not shown in FIG. 11 ;
  • FIG. 19 is a top isometric view of an exemplary standardized facilities box
  • FIG. 20 shows the standardized facilities box of FIG. 19 having a plurality of add-on features coupled thereto;
  • FIG. 21 is a side elevational view showing a pair of the standardized facilities boxes of FIG. 19 coupled together;
  • FIG. 22 is a top plan view of a standardized facilities box having a bridge flange and filler plate coupled thereto;
  • FIG. 23 is a close up perspective view, taken from the side, showing an exemplary locating mechanism coupled in a tongue and groove manner to a unistrut rail type coupling mechanism;
  • FIG. 24 is an isometric view, taken from above, of a semiconductor manufacturing equipment support pedestal with which a facilities connection box provided in accordance with the invention is installed;
  • FIG. 25 is an isometric view, taken from below, of the semiconductor manufacturing equipment support pedestal of FIG. 24 , with the inventive facilities connection box installed therewith;
  • FIG. 26 is an isometric view of the inventive facilities connection box shown in FIGS. 24 and 25 ;
  • FIG. 27 is a top plan view of the inventive facilities connection box
  • FIG. 28 is a front elevational view of the inventive facilities connection box
  • FIG. 29 is a side elevational view of the inventive facilities connection box.
  • FIG. 30 is a schematic block diagram showing a controller coupled to certain components of the inventive facilities connection box.
  • a facilities connection box provided in accordance with the invention is adapted to handle double-containment lines which carry hazardous materials.
  • the inventive facilities connection box includes respective isolation compartments for each incoming double-containment facilities line.
  • a junction between the incoming double-containment line and a line connecting to the semiconductor manufacturing equipment can be located within the associated isolation compartment, so that any leak which occurs at the junction can be contained within the respective isolation compartment.
  • a source of rinsing/neutralizing fluid, a leak detection sensor, and a manually or automatically controllable drain may be associated with each isolation compartment to detect and address any leak which occurs therein.
  • the inventive facilities connection box may also accommodate facilities lines which are not double-containment lines and do not carry hazardous materials.
  • FIGS. 1-23 illustrate, by way of background, various semiconductor manufacturing equipment pedestals with which the inventive facilities connection box may be installed, or other apparatus that may be included in an installation with the inventive facilities connection box.
  • FIG. 1 is a schematic top perspective view of manufacturing equipment installed at a manufacturing location in accordance with the prior art.
  • manufacturing equipment 111 shown as semiconductor processing equipment including two loadlock chambers 113 and 115 , a mainframe 117 and one or more processing chambers 119 (only one shown), is supported by a plurality of support legs 121 which extend from mounting feet (not shown) on the bottom of the manufacturing equipment 111 down to base mount location pads 123 disposed on an underlying waffle-grid floor 125 .
  • each of the support legs 121 is custom fabricated for the installation, in order to assure that the manufacturing equipment 111 is level, and also so as to connect the support legs to the interstices of the waffle sub-floor.
  • the suppliers of the manufacturing equipment 111 will provide mounting feet (not shown) which are of adjustable height. In that way upon final installation of the manufacturing equipment 111 , when each of the mounting feet is attached to a support leg 121 , final leveling of the manufacturing equipment 111 can be conducted by adjusting the height of each of the mounting feet. While a manufacturing location will have facilities lines 127 brought to the manufacturing level, further plumbing is required for connection of the facilities lines 127 to the manufacturing equipment 111 after the physical installation (i.e., anchoring of the manufacturing equipment 111 on support legs 121 and leveling thereof) is completed. As noted above, disadvantages of the FIG. 1 prior art installation include the additional time and cost for providing customized support legs 121 and the difficulty in pre-planning or pre-facilitating the manufacturing location due to the fact that the locations of the support legs 121 may interfere with pre-plumbed facilities lines 127 .
  • FIG. 2 is a schematic top perspective view of the proposed rectangular SEMI support pedestal 130 installed at a manufacturing location.
  • the SEMI support pedestal 130 provides an alternative to the customized support legs 121 of FIG. 1 .
  • the SEMI support pedestal 130 comprises a rectangular pedestal frame 135 supported on a plurality of SEMI support pedestal legs 131 , each of which is aligned to or near one of the interstices of the waffle-grid floor 125 .
  • the SEMI pedestal frame 135 includes a plurality of SEMI facilities connection locations 137 for establishing pre-facilitation locations to which facilities lines 127 can be pre-plumbed prior to the installation of the manufacturing equipment 111 of FIG. 3 .
  • the SEMI pedestal frame 135 additionally may be adapted to support raised flooring 139 .
  • FIG. 3 is a schematic top perspective view of the proposed SEMI support pedestal 130 with manufacturing equipment 111 installed thereon.
  • FIG. 3 depicts the SEMI support pedestal 130 having a rectangular SEMI pedestal frame 135 disposed on a plurality of SEMI pedestal support legs 131 which extend down to base mount locations on the waffle-grid floor 125 .
  • SEMI facilities connection locations 137 are provided along the SEMI pedestal frame 135 as pre-facilitation locations for connection of facilities lines 127 .
  • crossbeam members 138 typically must be attached to the SEMI pedestal frame 135 to support the manufacturing equipment 111 , and to transfer and distribute the weight of the manufacturing equipment 111 to the SEMI support pedestal 130 .
  • the installation of the manufacturing equipment 111 on a SEMI support pedestal 130 requires “customization” for both support and facilities connections.
  • the SEMI support pedestal 130 by attachment and alignment to the top edge of the SEMI pedestal frame 135 , does provide the alignment and leveling reference points for installation of manufacturing equipment 111 , and provides pre-plumbing reference points (i.e., the facilities connection locations 137 ). Nonetheless, the installation of the manufacturing equipment 111 requires customized support fabrication of the crossbeam members 138 and/or cantilevers (not shown), and customized retrofitting for connecting the facilities lines 127 from the facilities connection locations 137 to the actual facilities connection points (not shown) on the manufacturing equipment 111 . As noted above, modifications to certain facilities lines can adversely affect the flow through those lines to the potential detriment of both the manufacturing process and the manufacturing equipment 111 .
  • FIG. 4 is a schematic top perspective view of an inventive support pedestal 140 .
  • the inventive support pedestal 140 comprises a support frame 145 having a plurality of support legs 141 extending downward there from.
  • the support frame 145 has a frame outline which substantially duplicates the bottom outline of the mainframe 117 of the manufacturing equipment 111 , with the “bottom outline” of the mainframe 117 being defined by the lower frame of the mainframe 117 itself.
  • the support frame 145 may be monolithic so as to provide the enhanced support integrity which comes from a “seamless” frame.
  • the support frame 145 includes brackets 147 for engaging the load-bearing mounting feet of the manufacturing equipment (if any).
  • the support legs 141 are adjustable and comprise an outer leg section 144 fixedly mounted (e.g., bolted or welded) to the support frame 145 , and an inner leg section 142 .
  • the inner leg section 142 is slideably mounted in the outer leg section 144 , so that the length of the support legs 141 can be adjusted and, once optimized, locked in place by bolting or welding the inner leg section 142 to the first outer leg section 144 .
  • the support legs 141 are disposed on base mount location pads 143 , which can be affixed (e.g., removable via bolts, or welded) to the support legs 142 prior to installation or can be provided at the installation site. Additionally affixed to the support legs 141 are optional seismic braces 149 .
  • each seismic brace 149 is fixedly mounted to a support leg 141 as shown, (or alternatively could be attached directly to the waffle grid flooring) while a second end of the seismic brace 149 is provided for attachment to the manufacturing equipment 111 upon installation thereof.
  • the inventive support pedestal 140 includes at least one facilities connection locator 150 which is fixedly mounted to the support frame 145 and which establishes the facilities connection locations, representatively shown as the four facilities connection locations 151 - 154 , which exactly match the facilities connection points on the manufacturing equipment 111 .
  • Optional outer flanges (not shown) at the periphery of the support frame 145 , as well as optional inner flanges (not shown) are provided for supporting raised flooring (as shown in FIG. 5 ).
  • FIG. 5 is a schematic top perspective view of the inventive support pedestal 140 of FIG. 4 , installed at a manufacturing location and having the mainframe 117 attached thereto.
  • the inventive support pedestal 140 includes the support frame 145 , having a frame outline which substantially duplicates (and preferably exactly duplicates) the bottom outline of the mainframe 117 , and a plurality of support legs 141 , each of which extends to a base mount location pad 143 positioned on the waffle-grid floor 125 .
  • the frame outline need not substantially (or otherwise) duplicate the bottom outline of the mainframe 117 .
  • Seismic braces 149 are attached to the support legs 141 and to the mainframe 117 .
  • the facilities connection locator 150 is attached to the support frame 145 to provide the fixed facilities connection locations 151 - 154 (shown in FIG. 4 ).
  • the illustrated support pedestal 140 may have raised flooring (not shown) attached at the periphery of the support frame 145 . It is to be noted that the support pedestal 140 can alternatively be installed just below the level of the raised flooring, as depicted in FIG. 8 (discussed herein below).
  • the inventive support pedestal 140 provides manufacturing equipment alignment (due to the shape of the pedestal frame 145 ) and leveling (due to the adjustable legs), as well as providing fixed facilities connection locations 151 - 154 , all of which can be established in advance of the manufacturing equipment installation with reference to a datum point 100 ( FIG. 7 ) of the factory location. That is, a datum point is identified at the factory location and the support pedestal is aligned, leveled and plumbed with reference thereto.
  • the frame outline of the support frame 145 matches the bottom outline of the mainframe 117 of the manufacturing equipment which is installed on the support frame 145 , and since the quantity and positioning of the plurality of support legs 141 has been adapted to engage the manufacturing equipment's load-bearing mounting feet for support of the particular manufacturing equipment being supported by the inventive support pedestal 140 , no additional support structures (such as the custom-fabricated steel jacks, concrete piers, crossbeams or cantilevers) are required. Furthermore, given the fact that all of the facilities connection locations 151 - 154 of the facilities connection locator 150 are pre-aligned to the facilities connection points on the manufacturing equipment itself, no retrofitting of facilities lines and connectors is required, thereby avoiding interference with flow patterns within the facilities lines. Using the factory location's datum point, therefore, the factory location can be pre-facilitated with all of the facilities lines pre-plumbed to the specified location of the facilities connection locations 151 - 154 at the facilities connection locator 150 .
  • the support pedestal 140 is adaptable to specific manufacturing equipment configurations, as illustrated in FIG. 6 .
  • the support pedestal 140 can be augmented with at least one additional support 160 , including an additional frame 165 supported by additional support legs 161 extending to additional base mount location pads 163 to support the factory interface 114 , the loadlocks 113 , 115 or the processing chamber 119 (FIG. 7 ).
  • the components of the addition support 160 may be configured in the same manner as the components of the support pedestal 140 with adjustable legs 161 positioned below load bearing mounting of the manufacturing equipment positioned on the additional support 160 and/or frame 165 that duplicates the bottom of the manufacturing equipment.
  • the additional support component 160 may be joined to the support pedestal 140 by connecting segments 167 .
  • the pedestal frame 140 can be extended to include the support for the additional manufacturing equipment (e.g., the processing chamber 119 ).
  • a single support pedestal 140 may be configured to support one or more pieces of manufacturing equipment or a plurality of support pedestals may be coupled directly or via a connecting segment 167 .
  • the support pedestal 140 includes an additional facilities connection locator 170 with additional facilities connection locations ( 171 of FIG. 8 ) as needed (e.g., for the additional processing chamber 119 ).
  • FIG. 7 is a top perspective view of the support pedestal 140 at a factory location with the manufacturing equipment 111 , including the factory interface 114 , the loadlocks 113 and 115 , the mainframe processing unit 117 (shown partially cut away so that the bottom footprint thereof is visible) and the additional processing chamber 119 installed thereon.
  • the illustrated additional processing chamber 119 may be supported independently as described with reference to FIG. 6 .
  • the factory interface 114 is supported by the additional support component 160 comprising additional frame component 165 supported by additional support legs 161 which extend down to additional base mount location pads 163 .
  • the mainframe 117 of the manufacturing equipment 111 is mounted on the support frame 145 , which is in turn supported by support legs 141 which extend to the base mount location pads 143 coupled (e.g., welded or bolted) to the waffle-grid flooring 125 .
  • the mainframe processing unit 117 has been provided by the manufacturing equipment supplier on its own mounting frame 156 to which load-bearing mounting feet 157 are affixed.
  • the bottom outline of the mainframe 117 is defined by the locations of the load-bearing mounting feet 157 as mounted to the mounting frame 156 (provided by the manufacturing equipment supplier) for the mainframe 117 .
  • FIG. 8 is a schematic top perspective view of the support pedestal 140 of the present invention installed below the level of the raised flooring 168 .
  • the support frame 145 (not shown) would be installed on support legs 141 which extend down to base mount location pads 143 on the waffle-grid flooring 125 as in the previously-described embodiments.
  • Raised flooring 168 would be installed on top of the support pedestal 140 , with openings provided for the facilities connection locator 150 of the mainframe 117 (not shown), for the additional facilities connection locations 171 of the additional facilities connection locator (not shown) which is provided for the additional processing chamber 119 (not shown), and for the brackets 147 which will engage the load-bearing mounting feet 157 of the manufacturing equipment 111 .
  • FIG. 9 is a side view of the embodiment of FIG. 8 with manufacturing equipment 111 installed thereon.
  • the raised flooring 168 has the openings for brackets 147 ( FIG. 8 ) which accommodate load-bearing mounting feet 157 .
  • the mainframe processing unit 117 is provided on its mounting frame 156 which includes load-bearing mounting feet 157 to be attached to the brackets 147 ( FIG. 8 ) of the underlying support pedestal 140 .
  • the support frame 145 of the support pedestal 140 is fixedly attached to the plurality of support legs 141 , each of which extends to and is coupled to the base mount location pads 143 on the waffle-grid floor 125 .
  • Facilities connections 155 are shown projecting up from the facilities connection locations 151 - 154 (not shown) of facilities connection locator 150 to be provided to the mainframe processing unit 117 .
  • the additional processing chamber 119 has additional facilities connections 175 extending up through its additional facilities connection locations 171 ( FIG. 6 ) associated with the additional facilities connection locator 170 .
  • FIG. 10 is a side view of gooseneck connectors 191 which provide facilities connection between the facilities supply lines 193 of a factory location and the facilities connection locations 151 - 154 of a facilities connection locator 150 of the present invention (or any other facilities connection locations).
  • the gooseneck connectors 191 comprise a plurality of connector segments 195 which are alternately oriented to flexibly approximate a “straight” flow path between the facilities supply lines 193 and the facilities connection locations of the facilities connection locator 150 .
  • the manufacturing equipment 111 may include some non-load-bearing feet (hereinafter referred to as “anchoring feet”) which may be provided for additional lateral securing of the manufacturing equipment to the support frame 145 .
  • anchoring feet some non-load-bearing feet
  • the number and locations of the support legs 141 of the inventive support pedestal 140 are selected to match the number and locations of the load-bearing mounting feet 157 on the bottom outline of the manufacturing equipment.
  • additional anchoring feet may be provided on the manufacturing equipment, and additional brackets for engaging the anchoring feet may be provided on the inventive support pedestal 140 in locations which may or may not align with support legs 141 .
  • seismic braces including variable length ball-end rods 149 of FIG. 4
  • An alternative embodiment would include a piece of thick metal strapping, which would first be secured to the support leg, followed by custom-bending in situ, and then bolting or welding into place. By either method, the support pedestal would be triangulated in orthogonal directions, thus preventing the vertical support legs from deforming to the point of failure during a seismic event.
  • a molded, monolithic pedestal frame is advantageous for mechanical integrity
  • a pedestal frame comprising a plurality of bonded (e.g., welded) or fixedly coupled (e.g., bolted) pieces can be substituted without departing from the invention as claimed.
  • Yet another modification comprises the use of standardized spacers as the mounting and anchoring feet, in place of the adjustable mounting and anchoring feet which have traditionally been employed for in situ leveling of manufacturing equipment.
  • the inventive support pedestal has adjustable legs which are adjusted prior to installation of the manufacturing equipment to thereby pre-establish the alignment and leveling of the manufacturing equipment; therefore, fixed spacers are recommended since the fixed spacers maintain the fixed parallel relationship between the support frame and the manufacturing equipment which has been established relative to the datum point and since no in situ leveling of the manufacturing equipment will be required.
  • the support leg sections could also be. tubular, right angle sections (“angle iron”), or triangular or etc., they need not be rectangular. Also, the lower portion of the support leg could be either the outer or the inner portion. As an alternative to being bolted-on, the mounting of the support legs to the pedestal frame could also be welded-on, designed so that they would attach underneath the frame (in compression), or designed to fit into underside receptacles fabricated as part of the frame itself, or some combination of these.
  • pre-facilitation of a factory location can be conducted using a “map” of the support pedestal and its facilities connection locator with facilities connection locations defined relative to a datum point of the factory location.
  • a medium (polycarbonate film) having a full-scale outline of the inventive support pedestal, with or without facilities connection locations denoted, can be delivered to the factory location prior to installation of the support pedestal. Once the polycarbonate film is spread out on the factory floor relative to the datum point, the x and y coordinates (i.e., the coordinates in the horizontal plane) for each facilities connection location will be precisely defined in situ and appropriate plumbing, electrical, construction can be performed prior to installation of the inventive support pedestal.
  • FIG. 11 is an isometric view, taken from above, of a manufacturing equipment support apparatus 201 , showing an improved facilities connection locator 203 coupled thereto.
  • the facilities connection locator 203 comprises a bottom surface 205 and a plurality of side walls 207 extending upwardly therefrom so as to form a fluid tight “bucket”.
  • the facilities connection locator 203 has at least one fluid connection port formed therein and may also have any number of vacuum connection ports, and/or facilities connection plates, the features of which are best understood with joint reference to FIG. 11 and to FIGS. 12-17 which show various views of the facilities connection locator 203 and/or its facilities line connectors.
  • the exemplary facilities connection locator 203 shown comprises four fluid connection ports 209 ( FIG. 12 ) and three vacuum connection ports 211 (FIG. 12 and FIG. 16 ). Each vacuum connection port 211 is shown surrounded by a riser 213 .
  • a mounting mechanism extends from the facilities connection locator 203 and interfaces with features of the equipment support apparatus 201 so that the facilities connection locator 203 is mounted to the equipment support apparatus 201 with a predetermined relationship (i.e., such that features of the facilities connection locator 203 are fixed in the x-axis and y-axis directions relative to the outline or footprint of the equipment support apparatus 201 , and are fixed in the z-axis direction relative to a top surface 217 of the equipment support apparatus 201 ).
  • a predetermined relationship i.e., such that features of the facilities connection locator 203 are fixed in the x-axis and y-axis directions relative to the outline or footprint of the equipment support apparatus 201 , and are fixed in the z-axis direction relative to a top surface 217 of the equipment support apparatus 201 ).
  • the mounting flanges 215 of the facilities connection locator 203 rest on corresponding mounting flanges 219 located within the footprint of the equipment support apparatus 201 and recessed slightly below the top surface 217 of the equipment support apparatus 201 such that the top surface of the facilities connection locator 203 and the mounting flanges 215 thereof are flush with the top surface 217 of the equipment support apparatus 201 .
  • the riser 213 each of which extends a predetermined height Z 1 , above the bottom surface 205 . Accordingly, because the bottom surface 205 is a predetermined height Z 2 below the top surface 217 , the z-axis position of the top of the riser 213 is known relative to the top surface 217 . The same principle is true for the remaining features of the equipment support apparatus 201 , such as the z-axis position of any fluid line connectors 220 relative to the top surface 217 or the z-axis position of any facilities connection plate 221 relative to the top surface 217 .
  • the riser 213 may be employed with a facilities connection line 223 having a z-axis locating mechanism such as a locating flange 225 , best understood with reference to FIGS. 13 and 14 .
  • the facilities connection line 223 has a top surface 227 which may comprise a flange, as shown.
  • the top surface 227 has a fixed height relationship Z 3 with respect to the top of the riser 213 (FIG. 14 ).
  • Z 3 with respect to the top of the riser 213
  • the locating flange 225 may comprise a V-shaped groove 229
  • the riser 213 may comprise a plurality of holes (not shown) formed at a predetermined height above the bottom surface 205 .
  • the V-shaped groove 229 and the holes (not shown) in the riser 213 are configured such that when a top surface 231 of the locating flange 225 is flush with the top surface of the riser 213 , the center of the V-shaped groove 229 is adjacent the holes.
  • a screw 233 may then be threaded through a nut 235 and through the hole in the riser 213 so as to extend into the center of the V-shaped groove 229 .
  • the nut 235 ensures that the screw 233 is normal to the hole in the riser 213 , and the normally positioned screw 233 contacts the equally sloped sides of the V-shaped groove 229 ensuring that the facilities connection line 223 is precisely positioned in the z-axis direction.
  • the facilities connection line 223 is shown only as a vacuum line connection in the figures, it will be understood that the facilities connection line 223 also may be employed as a fluid line connector.
  • each fluid line connector 220 may be configured as shown in the bottom isometric view of FIG. 15 A.
  • Each fluid line connector 220 comprises a fluid line 237 having a planar surface 239 that extends horizontally from the fluid line 237 and, when coupled to the facilities connection locator 203 , is positioned such that the planar surface 239 extends along the interior side of the facilities connection locator 203 's bottom surface 205 .
  • An o-ring 241 is disposed along the planar surface 239 so as to form a fluid tight seal between the planar surface 239 and the interior side of the facilities connection locator 203 's bottom surface 205 .
  • a portion of the fluid line 237 that is to extend downward from an exterior surface of the facilities connection locator 203 's bottom surface 205 is threaded (not shown) so that a threaded bolt 243 may be threaded thereon, as shown.
  • the bolt 243 may be tightened such that the o-ring 241 is held firmly between the planar surface 239 and the facilities connection locator 203 's bottom surface 205 , forming a fluid tight seal.
  • the planar surface 239 has a fixed offset from the top of the fluid line 237 , and the fluid line 237 has a known height
  • the top of the fluid line 237 is a fixed or known distance from the bottom surface 205 of the facilities connection locator 203 , and from the top surface 217 .
  • any of the connections described above may also be welded to the facilities connection locator 203 , as shown in FIG. 15 B.
  • the facilities connection locator 203 is fluid tight without the need for the special fluid tight design of the fluid line connectors 220 described above, and without the need for the riser 213 .
  • the welded or integrally formed fluid line connectors 220 and facilities connection lines 223 will have fixed positions (in the x-y and z axis) relative to the facilities connection locator 203 and hence relative to the top surface 217 of the equipment support apparatus.
  • Such welded/integral fluid line connectors 220 and facilities connection lines 223 are shown in the isometric view of FIG. 15B , and may also have an optional industry standard clamp flange (not shown).
  • FIG. 16 is an exploded close up isometric view of the facilities connection locator 203 , taken from below; and FIG. 17 is a close up isometric view of the facilities connection locator 203 taken from above and at an angle that better shows a riser 213 that surrounds the facilities connection plate 221 .
  • the riser 213 surrounds the facilities connection plate 221 so that if fluid should fill the facilities connection locator 203 , the facilities connection plate 221 will be protected therefrom.
  • the facilities connection plate 221 may also have an integrally formed riser 213 a which makes mounting of the facilities connection plate 221 easier.
  • the integrally formed riser 213 a may also have welded corners so as to be fluid tight.
  • the connection plate's riser 213 a is adapted to couple to the riser 213 so that a bottom surface 245 of the facilities connection plate 221 is a fixed height above the bottom surface 205 of the facilities connection locator 203 , and thus, is a fixed height offset from the top surface 217 .
  • connection plate 221 may have an integral edge that extends downwardly to facilitate mounting of the connection plate 221 .
  • the facilities connection plate 221 has a plurality of removable panels 247 (e.g., knock out panels with perforated edges that facilitate easy removal) that may be individually removed to allow facilities lines (e.g., electrical, gas, fluid or pressure lines, etc.) to pass therethrough.
  • facilities lines e.g., electrical, gas, fluid or pressure lines, etc.
  • FIG. 18 is an isometric view, taken from above, of a manufacturing equipment support apparatus, showing an improved facilities connection locator 203 a coupled thereto and having additional features not shown in FIG. 11 .
  • the facilities connection locator 203 a has the additional feature of a gravity drain 249 , located on the bottom surface 205 of the fluid tight bucket 203 .
  • the bottom surface 205 may be sloped, such that the drain 249 is at a slightly lower elevation than the remainder of the bottom surface 205 .
  • a liquid level sensor 251 that detects when fluid in the facilities connection bucket 203 has reached a certain level.
  • the liquid level sensor 251 may be coupled to a controller (not shown) that will notify an operator of the fluid level, will shut of the fluid flow through the fluid line(s) connected to the facilities connection locator 203 and/or will activate a fluid pump coupled to the facilities connection locator 203 .
  • a fluid pump 253 which automatically begins to pump fluid when it senses the same may also be employed.
  • a further feature that may be employed with any equipment support apparatus is an airflow control plate 255 as shown in FIG. 11 .
  • the airflow control plate 255 may be mounted to the support apparatus via a plurality of flanges or any other suitable mechanism.
  • the airflow control plate 255 has a plurality of openings (e.g., in a preferred embodiment evenly distributed holes of equal size) to control airflow.
  • the plate may be adapted to fully or partially occupy an interior region of the support apparatus' frame outline. Accordingly, where gaps exist between the installed equipment and the pedestal's top surface, the airflow control plate 255 may be installed to reduce turbulence, and/or to prevent objects from falling into the gap.
  • the facilities connection locator 203 may be any size and shape, and may occupy all or any portion of the outline of the support apparatus' outline. Both the airflow control plate 255 and facilities connection locator 203 may also be mounted to extend beyond the outline of the support apparatus' frame. Such a facilities connection locator 203 would still provide accurate positioning of facilities lines with respect to the top surface 217 of the equipment support apparatus 201 , and such an airflow control plate 255 would still provide airflow management and safety functions.
  • FIG. 19 is a top isometric view of an exemplary standardized facilities box 301 .
  • the exemplary standardized facilities box 301 comprises a bottom surface 303 and a plurality of side walls 305 .
  • the standardized facilities box 301 of FIG. 19 therefore provides inherent drop containment and may prevent servicing tools or other components dropped while working in the standardized facilities box 301 from falling to the subfloor. Accordingly, use of the standardized facilities box 301 may increase safety for those working in the subfloor region.
  • the standardized facilities box 301 comprises mechanisms that allow one or more add-on features to be selectively coupled (i.e., attached at predetermined locations without machining). Such mechanisms may be, for example, conventional mechanisms such as tongues or grooves for coupling to a corresponding tongue or groove of an add-on feature, snap couplings, threaded couplings, predrilled bolt or screw holes, premachined slots, etc.
  • the specific mechanism employed for selective coupling is not material. Accordingly, in FIG. 19 the coupling mechanisms are generally represented by reference number 307 . When a specific one of the exemplary coupling mechanisms is described, an alphabetical reference is added to the general reference number 307 .
  • An individual add-on feature may be selectively coupled to the standardized facilities box 301 if that specific add-on feature is needed for a particular installation. In this manner installation is both facilitated, as on site machining of the facilities box 301 is not required, and standardized, as the specific add-on features are in a known location within the standardized facilities box 301 . To the extent there is more than one location within a given standardized facilities box 301 for a given add-on feature, it follows that the location for the add-on feature may be further specified. Standardization of facilities connections simplifies troubleshooting, enables use of standardized documentation such as installation, maintenance or safety information.
  • the specific add-on features may be positioned (e.g., moved around adjacent various coupling mechanisms, until a desired fit (for example, allowing the desired number of add on features) is achieved.
  • Coupling mechanisms 307 of an exemplary standardized facilities box 301 may include, for example, a guide (e.g., a grooved track or rail) 307 a which may run along the interior and/or exterior of the bottom surface 303 and/or along the interior or exterior of the one or more side walls 305 .
  • a guide e.g., a grooved track or rail
  • One or more locating mechanisms 307 b may be positioned along the guide 307 a as shown on the bottom wall 305 of FIG. 19 .
  • FIG. 23 is a close up perspective view, taken from the side, showing an exemplary locating mechanism 307 b (such as a tubing clamp) coupled in a tongue and groove manner to a unistrut rail type coupling mechanism 307 a
  • the guide 307 a may be omitted, and only the locating mechanisms 307 b (bolt holes, or threaded holes, etc.) employed, as shown on the sidewall 305 of FIG. 19 .
  • Other coupling mechanisms 307 may comprise one or more precut openings, or perforated knockouts (e.g., portions of the sidewalls or bottom of the facilities box that may be easily removed with manual force, such as when struck by a hammer or mallet), through which facilities connections may be made (e.g., through which a subfloor facilities line may be coupled to a processing tool located above the raised floor).
  • the precut openings may include a removable cap 307 c ( FIG. 20 ) which may snap or screw in place, for example.
  • the precut openings of perforated knockouts may include snap, twist or screw on mechanisms to which a riser 213 (such as that described with reference to FIG. 12 ) or other z-axis locating mechanism may be selectively coupled.
  • the top of the side walls 305 may include a coupling mechanism for coupling a cover to the standardized facilities box 301 (e.g., a hinge 307 e (FIG. 20 )), a prefacilitated location for mounting a hinge, snap fit mechanisms or tabs).
  • a coupling mechanism for coupling a cover to the standardized facilities box 301 e.g., a hinge 307 e (FIG. 20 )
  • a prefacilitated location for mounting a hinge e.g., a hinge 307 e (FIG. 20 )
  • the exemplary standardized facilities box 301 also may comprise a flange 309 for coupling the standardized facilities box 301 to the raised floor 168 (FIG. 8 ), to a support pedestal 140 ( FIG. 8 ) or to a bridge flange 311 (shown in FIG. 22 ).
  • the flange 309 may include a coupling mechanism 307 for coupling the flange 309 to any one of a number of bridge flanges 311 (FIG. 22 ).
  • the flange 309 and the bridge flange 311 may couple, simply by overlapping such that the bridge flange 311 supports the flange 309 .
  • Each of the bridge flanges 311 may be adapted to couple to a floor tile, tool pedestal, etc., of differing thickness. Further each bridge flange 311 may be of varying size so as to fill open space (resulting from floor tile removal) that is not occupied by the standardized facilities box 301 . Alternatively, a filler plate F ( FIG. 22 ) may be provided to occupy such open space. Standard sizes of filler plates F may be provided for use with commonly used raised floor systems. The filler plate F may be perforated so as not to interfere with laminar air flow, and will be strong enough to support operator traffic.
  • any selectively coupleable member that adapts a standardized facilities box to a floor tile grid system that employs floor tiles having a larger footprint then the footprint of the standardized facilities box (e.g., larger than the footprint of the flange 309 ), may be considered a bridge mechanism. In this manner, floor tile cutting may be avoided, and standardized facilities box 301 installation further facilitated.
  • FIG. 20 shows the standardized facilities box 301 of FIG. 19 having a plurality of add-on features coupled thereto. It should be emphasized that the positions of the add-on features are merely exemplary, as the standardized facilities box 301 may allow a number of predefined positions for a given add-on feature.
  • a partition 313 is shown coupled to the guide 307 a that runs along the bottom surface 303 , and may function to separate incompatible facilities (e.g., fluids and electrical lines).
  • a document storage compartment 315 is shown coupled to the guide 307 a that runs along one of the side walls 305 . As with each of the add-on features, the document storage compartment 315 may be coupled to a coupling mechanism 307 at any location. A particularly advantageous location may be to locate the document storage compartment 315 on the underside of the cover 321 .
  • a sensor 317 (e.g., a heat, fluid, or pressure sensor) is shown coupled to the locating mechanisms 307 b .
  • the sensor 317 may include a warning indicator such as a visual (e.g., a flag that ejects from a cylinder and is visible through the transparent cover 321 ) or audible warning indicator.
  • a lockout mechanism 319 may operatively couple to the sensor 317 and may function for example to lock a cover 321 (shown hingedly coupled to the standardized facilities box 301 ) when a given condition (fire, leak, etc.) is detected by the sensor 317 or is detected elsewhere in the semiconductor fabrication facility. Also, any individual facilities connection line within the facilities box 301 may be locked and tagged, as is conventionally known.
  • Every connection within the box may be locked and tagged out simultaneously via a lock out tag out mechanism 322 fixed to the cover 321 and adapted to allow the cover to be locked and tagged.
  • tagging out means to attach an indicator that identifies for example, which operator has locked the device.
  • the indicators are in the form of a tag, thus the term “tag out” is conventionally employed.
  • Such a mechanism may comprise for example, a pair of sidewardly extending tabs, one that extends from the cover 321 , and one that extends from the side of the standardized facilities box 301 .
  • Each tab may have a hole H formed therethrough.
  • the two holes H may be adapted such that a lock 322 b (e.g., padlock or combination lock, etc.) and tag 322 c can be inserted therethrough, thus locking the cover 321 to the facilities box 301 .
  • the box may include an internal cover (not shown) that need not be adapted to support the weight of personnel or equipment.
  • the internal cover may include a tab that extends upwardly and has a hole that aligns with a hole on a tab internal to the facilities box, thus allowing the internal cover to be locked to the facilities box. Thereafter, the cover 321 , may be closed over the internal cover, if desired.
  • a lockout mechanism may take a number of different forms, and may be located at a number of different positions. Accordingly, the lock out mechanism shown and described herein is merely exemplary.
  • the cover 321 may have a recessed and/or retractable handle 320 ( FIG. 20 ) to facilitate lifting.
  • a floor lighting strip 323 may be coupled to the standardized facilities box 301 via one of the guides 307 a that extend along a side wall 305 of the standardized facilities box 301 .
  • the floor lighting strip 323 may be coupled to the sensor 317 , and may be adapted to illuminate when a leak, or other malfunction is detected by the sensor 317 .
  • the floor lighting strip 323 may be coupled to a central monitor, and may be illuminated as floor lighting in the event the lights in the FAB are inoperable.
  • a lifting mechanism 325 see FIG.
  • a pneumatic lift such as a pneumatic lift may be coupled to the standardized facilities box 301 for example via locating mechanisms 307 b located on bottom surface 303 of the standardized facilities box 301 , and may be adapted to lift/lower items to or from the standardized facilities box 301 .
  • Such items may include the cover 321 .
  • a pair of tool mounting mechanisms 329 such as snap connectors are shown mounting a service tool (e.g., a wrench).
  • a tool storage mechanism such as an enclosure may be mounted within the standardized facilities box 301 .
  • An exhaust mechanism 330 may be coupled (e.g., to a knockout panel 247 ( FIG. 12 ) located on the bottom 303 or the side/front wall 305 ) and may be adapted to maintain the internal region of the facilities box 301 at a negative pressure (e.g., coupled to a pump), so as to prevent gas leaks from escaping the box 301 .
  • Facilities connections are preferably made in the region internal to the facilities box 301 , such that leaks (gas, fluid, etc.) may be contained therein.
  • FIG. 21 is a side elevational view showing a pair of the standardized facilities boxes 301 of FIG. 19 coupled together or merely placed side by side.
  • the standardized facilities boxes 301 may be coupled via coupling mechanisms (such as a joiner plate (not shown), or an interlocking coupling (not shown)) located on their respective flanges 309 . Such interlocking couplings would preferably join in an over/under relationship, so as to maintain planarity with the surrounding floor.
  • the standardized facilities boxes 301 may be coupled via coupling mechanisms 307 that are positioned along the sidewalls 305 of the respective standardized facilities boxes 301 or via a bridge flange 311 .
  • support legs 331 a-b coupled to the standardized facilities boxes 301 via the guide 307 a that extends along the exterior of the bottom surface 303 .
  • the first support leg 331 a is shown extending to the waffle grid subfloor 125 (FIG. 6 ), and the second support leg 331 b is shown cantilevered to a support leg 333 which may be a support leg of the raised floor grid 139 or of a support pedestal 140 for a fabrication tool 111 .
  • the support legs 331 a-b are optional. Any number of support legs may be employed, and either cantilevered or direct floor coupling supports legs may be employed exclusively.
  • reference number 340 represents a floor beam of a raised floor, or a beam of an equipment support pedestal.
  • An exemplary method for using the inventive system to achieve standardization may include indicating a location within a fabrication facility for installing a facilities box; providing a standardized facilities box; providing a plurality of add-on features and specifying which add-on feature should be selectively coupled to which selective coupling mechanism of the standardized facilities box.
  • the standardized facilities box may be used for locating joints in a length of a fluid, gas or electrical line which may extend along a factory for producing semiconductor devices (i.e., a FAB). By locating such joints with a facilities box, areas where maintenance or further connections are most likely to be needed are easy to identify and access. Further, inherent drop and/or leak containment may be provided by the standardized facilities box.
  • the facilities boxes may be fluid tight and/or may be maintained at a negative pressure via coupling to a facilities exhaust line.
  • the facilities location box may merely provide a convenient location for containing needed tools, documents, safety indicators, floor lights, etc.
  • the invention comprises a FAB that employs facilities boxes in such a manner.
  • Another aspect comprises a method for standardized FAB construction, that provides specific locations for standardized facilities boxes, and specific ad-on features to be included therein.
  • the invention may comprise a facilities box with such features, whether or not the add-on feature is fixedly or selectively coupled to the box.
  • Examples may include a facilities box that comprises a support leg of its own, thus allowing the facilities box to be coupled directly to a raised floor (as shown in FIG. 21 ), rather than to an equipment pedestal. Further examples may include a facilities box that comprises lift/lowering mechanisms, and/or a facilities box that includes sensors, an exhaust mechanism, or a lockout mechanism (i.e., a mechanism adapted to automatically lock a cover of the facilities box when a specific condition is sensed).
  • a facilities box that comprises a support leg of its own, thus allowing the facilities box to be coupled directly to a raised floor (as shown in FIG. 21 ), rather than to an equipment pedestal.
  • Further examples may include a facilities box that comprises lift/lowering mechanisms, and/or a facilities box that includes sensors, an exhaust mechanism, or a lockout mechanism (i.e., a mechanism adapted to automatically lock a cover of the facilities box when a specific condition is sensed).
  • FIG. 24 is an isometric view, taken from above, of a semiconductor manufacturing equipment support pedestal 401 , to which a facilities connection box 403 , provided in accordance with an aspect of the invention, is installed.
  • FIG. 25 is an isometric view taken from below of the support pedestal 401 and the facilities connection box 403 .
  • the support pedestal 401 may be such as to support semiconductor manufacturing equipment of the type referred to as a “polisher”, which is a type of equipment that is well known in the art.
  • a polisher may require one or more slurries or other potentially hazardous materials that should be brought to the polisher within suitable double-containment lines to reduce the possibility of a leak of such materials out into the fabrication facility.
  • the inventive facilities connection box 403 is adapted to accommodate double-containment facility lines and provides a convenient, standardized point of junction for both double-containment lines and non-double-containment lines adjacent the place of installation of the semiconductor manufacturing equipment.
  • support legs 404 of the support pedestal 403 may be formed of cylindrical sections in accordance with teachings of commonly assigned co-pending U.S. patent application Ser. No. 10/214,878, filed Aug. 8, 2002, entitled “Adjustable Support Leg for Semiconductor Device Manufacturing Equipment Support Pedestal”, which is incorporated herein in its entirety by reference.
  • FIG. 26 is an isometric view of the inventive facilities connection box 403 .
  • FIG. 27 is a top view of the inventive facilities connection box 403 , shown with the cover removed.
  • FIG. 28 is a front elevational view of the inventive facilities connection box 403 .
  • FIG. 29 is a side elevational view of the inventive facilities connection box 403 .
  • the inventive facilities connection box 403 includes an enclosure 405 .
  • the enclosure 405 is generally rectangular, with a step at the rear 407 of the enclosure 405 . Note the step may be omitted. In the embodiment shown the step down region is included because the rear portion of the exemplary facilities connection box 403 is intended to fit beneath the frame of the equipment pedestal, and the front portion is intended to be flush with the FAB floor.
  • the enclosure 405 is made up of a front wall 409 , side walls 411 , 413 , a bottom wall 415 , a rear wall 417 , a top plate 419 , an intermediate top wall 421 and an intermediate rear wall 423 .
  • a removable cover 425 (shown above the remainder of the inventive facilities connection box 403 ) selectively closes the top portion of the enclosure 405 adjacent the top plate 419 .
  • the top plate 419 and the cover 425 (when in place) may be considered to constitute a top wall of the enclosure 405 .
  • the cover 425 may include a leak-proof seal, which is not separately shown.
  • a suitable arrangement may be associated with the cover 425 to selectively vent vapors from the enclosure 405 to a vapor recovery handler (not shown).
  • Mounting brackets 427 ( FIG. 26 , only one bracket being visible in the drawing) are provided, for example, on the side walls 411 , 413 to aid in mounting the facilities connection box 403 on the support pedestal 401 .
  • Isolation partitions 429 , 431 , 433 , 435 , 437 and 439 are disposed within the enclosure 405 .
  • the isolation partitions may be oriented parallel to the side walls 411 , 413 of the enclosure 405 and positioned at intervals along the front wall 409 .
  • the isolation partitions serve to define isolation compartments 441 , 443 , 445 , 447 , 449 and 451 within the enclosure 405 .
  • the isolation partitions extend the length of the enclosure 405 , (e.g., from the front wall 409 to the rear wall 417 ) and are joined in a liquid-tight manner to the bottom wall 415 , and, in this example, the front wall 409 and the rear wall 417 .
  • the isolation partitions all have substantially the same height, which is less than the height of the front wall 409 and the side walls 411 , 413 . Consequently, the isolation compartments are open in an upward direction below the cover 425 .
  • the front walls of the isolation compartments are constituted by the front wall 409 of the enclosure 405 .
  • the isolation partition 429 defines a boundary between the isolation compartments 441 and 443 .
  • the isolation compartment 441 is also bounded by the side wall 411 of the enclosure 405 .
  • the isolation partition 431 defines a boundary between the isolation compartments 443 and 445 ;
  • the isolation partition 433 defines a boundary between the isolation compartments 445 and 447 ;
  • the isolation partition 435 defines a boundary between the isolation compartments 447 and 449 ;
  • the isolation partition 437 defines a boundary between the isolation compartments 449 and 451 .
  • the isolation partition 439 defines a boundary between the isolation compartment 451 and a compartment 453 .
  • the compartment 453 may be shared, as will be seen, by a plurality of incoming facilities lines for which isolation from each other is not desired.
  • the isolation partitions are spaced along the front wall 409 such that the isolation compartments 441 , 443 are relatively large, to accommodate relatively large-diameter double-containment facilities lines, whereas the isolation compartments 445 , 447 , 449 and 451 are relatively small to accommodate smaller-diameter double-containment facilities lines.
  • the top plate 419 may be considered to form a portion of a top wall of the isolation compartments 441 , 443 , 445 , 447 , 449 , 451 and of the shared compartment 453 .
  • entry ports 455 , 457 , 459 , 461 , 463 , 465 , 467 , 469 , 471 , 473 and 475 are provided on the front wall 409 of the enclosure 405 .
  • the entry port 455 is adapted to couple to a relatively large-diameter double-containment facility line, and is formed in the front wall of the isolation compartment 441 .
  • the entry port 457 is also adapted to couple to a relatively large-diameter double-containment facility line and is formed in the front wall of the isolation compartment 443 .
  • the entry ports 459 , 461 , 463 and 465 are all adapted to couple to respective small-diameter double-containment facility lines and are respectively formed in the front walls of the isolation compartments 445 , 447 , 449 and 451 .
  • the entry ports 455 , 457 , 459 , 461 , 463 and 465 are respectively associated with, and provide access to, the isolation compartments 441 , 443 , 445 , 447 , 449 and 451 .
  • the entry ports 467 , 469 and 471 are provided on the front wall 409 of the enclosure 405 at the locus of the shared compartment 453 , and are respectively adapted to couple to facility lines that are not double-containment lines, and for which no isolation is desired.
  • Exit ports 477 , 479 , 481 , 483 , 485 , 487 , 489 , 491 , 493 , 495 and 497 are formed in the top plate 419 of the enclosure 405 .
  • the exit ports 477 , 479 , 481 , 483 , 485 , 487 , 489 , 491 , 493 , 495 and 497 are respectively associated with the entry ports 455 , 457 , 459 , 461 , 463 , 465 , 467 , 469 , 471 , 473 and 475 .
  • the exit port 477 is positioned to accommodate a line 499 ( FIGS.
  • top plate 419 limits unwanted access (e.g. by dropped objects, etc.) to the facilities box 403 , and limits airflow into/out of the facilities box 403 . Although less desirable, the top plate 419 may be omitted.
  • the exit port 479 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 443 bound for the semiconductor manufacturing equipment 501 ;
  • the exit port 481 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 445 bound for the semiconductor manufacturing equipment 501 ;
  • the exit port 483 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 447 bound for the semiconductor manufacturing equipment 501 ;
  • the exit port 485 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 449 bound for the semiconductor manufacturing equipment 501 ;
  • the exit port 487 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 451 bound for the semiconductor manufacturing equipment 501 .
  • exit ports 489 , 491 and 493 are positioned and adapted to accommodate fluid lines (not shown) which exit from the shared compartment 453 .
  • An exhaust port 521 is also formed in the top plate 419 of the enclosure 405 .
  • the exhaust port 521 is adapted to be connected to an exhaust line (not shown) which vents or exhausts the enclosure 405 .
  • a spray mechanism 523 extends transversely relative to the isolation partitions.
  • Spray nozzles 525 may extend (e.g., downwardly) from the spray mechanism 523 into the isolation compartments.
  • the specific configuration of the spray mechanism may vary, for example, in another aspect the spray mechanism may comprise a bar having a plurality of openings through which fluid may flow.
  • a fluid supply line 527 ( FIG. 27 ) couples the spray mechanism 523 to a fluid source which is not shown.
  • a fluid e.g., water or another neutralizing fluid
  • a fluid may be sprayed into the isolation compartments via the spray mechanism 523 and the spray nozzles 525 to neutralize the leaking chemical and/or to rinse the isolation compartments.
  • a respective liquid detection sensor 529 ( FIG. 28 ) is provided at or near the bottom of each of the isolation compartments 441 , 443 , 445 , 447 , 449 , 451 and the shared compartment 453 to detect fluid leaks therein.
  • Each of the isolation compartments 441 , 443 , 445 , 447 , 449 and 451 and the shared compartment 453 is provided with a respective drain 531 .
  • a respective manually and/or electronically controlled drain valve 530 is associated with each of the drains 531 .
  • the drains 531 are preferably located along a wall of the enclosure 405 other than the bottom wall 415 so as to reduce the possibility of fluid leaks there from. However, in other embodiments, the drains 531 may be positioned along the bottom wall 415 with proper sealing.
  • FIGS. 27 and 29 show a double-containment facility line 533 , suitable for carrying a hazardous material, coupled to the entry port 455 associated with the isolation compartment 441 .
  • the facility line 533 may be a drain line for draining hazardous materials and other materials from the semiconductor manufacturing equipment 501
  • the lines 457 and 455 may be drain lines for waste deionized water and used polisher slurry, respectively.
  • Each of the entry ports 459 , 461 , 463 and 465 may be connected to a respective double-containment slurry supply line (not shown).
  • the entry ports 467 and 469 may be connected to cooling lines (not shown) and the entry port 471 may be connected to a deionized water supply line (not shown).
  • the entry ports 473 and 475 may be connected to gas supply lines (not shown) for which isolation is not required.
  • a coupling connector 535 is installed within the isolation compartment 441 to couple the double-containment facility line 533 , which enters the isolation compartment 441 via the entry port 455 , with the exiting line 499 , which is bound for the semiconductor device manufacturing equipment 501 via the exit port 477 (FIG. 27 ).
  • a flow path is provided from the entry port 455 to the exit 477 .
  • the facility line 533 may be a drain line, such that the actual flow of material may be in the direction from the exit port 477 to the entry port 455 .
  • a controller 537 is coupled to the liquid detection sensors 529 to receive leak detection signals from the sensors 529 (FIGS. 29 and 30 ).
  • the controller 537 is also coupled to the spray mechanism 523 (and/or to the above-mentioned liquid supply (not shown) associated with the spray mechanism 523 ) to selectively spray rinsing and/or neutralizing fluid into the isolation compartments.
  • the controller 537 is also coupled to drain valves 530 , to selectively open the drain valves 530 so as to drain the isolation compartments 441 , 443 , 445 , 447 , 449 , 451 and the shared compartment 453 when required.
  • the controller 537 may also control other facilities connection boxes (not shown) or may be a controller which controls the semiconductor manufacturing equipment 501 and/or which controls part or all of the semiconductor fabrication facility.
  • fluid lines 541 , 543 , 545 , 547 , 549 are shown exiting isolation compartments 443 , 445 , 447 , 449 , 451 respectively, bound for the semiconductor manufacturing equipment 501 (FIG. 29 ).
  • the facilities connection box provided in accordance with the present invention accommodates pre-plumbing of facilities lines required for semiconductor manufacturing equipment, thereby promoting convenient installation of the semiconductor manufacturing equipment.
  • the inventive facilities connection box is suitable for use with double-containment facilities that may carry hazardous materials.
  • the inventive facilities connection box is arranged to isolate and control leakage of hazardous material which may occur at a junction between the facilities lines and lines terminating at the semiconductor manufacturing equipment.
  • inventive facilities connection box may include more or fewer isolation compartments, compartments adapted to accommodate relatively wide-diameter double-containment lines. Isolation compartments adapted to accommodate relatively small-diameter double-containment lines, and/or non-double-containment lines than the exemplary connection box illustrated herein.
  • the facilities connection box of the present invention has been shown as installed with a particular type of semiconductor manufacturing equipment support pedestal. It will be understood, however, that the inventive connection box can be installed with other types of support pedestals, as well as with equipment that does not require a support pedestal.
  • isolation partitions are each shown as single walls, one or more of the isolation partitions may be formed as a double wall, or more generally as two or more walls with a space or spaces there between.
  • any opening in any wall including the less preferred bottom wall that allows a fluid line to exit from an isolation compartment bound for the semiconductor manufacturing equipment may be considered an exit port.
  • fluid may flow in either direction through a given isolation compartment, i.e., either from the entry port to the exit port or from the exit port to the entry port.
  • inventive facilities connection box disclosed herein is illustrated in a particular configuration suitable for use with a polisher, but other configurations, and use with other types of semiconductor device manufacturing equipment, would fall within the scope of the invention.

Abstract

A facilities connection box is provided to accommodate pre-plumbing of facilities lines required in connection with an installation of semiconductor device manufacturing equipment. The facilities connection box accommodates termination of double-containment facilities lines that are adapted to carry hazardous materials required for operation of the semiconductor device manufacturing equipment. Each double-containment facilities line is terminated in an isolation compartment of the facilities connection box. Each isolation compartment has an entry port adapted to couple to an incoming double-containment line and an exit port adapted to permit egress from the isolation compartment of a respective outgoing line.

Description

This application is a continuation-in-part of U.S. patent application Ser. No. 09/706,435, filed Nov. 3, 2000, and Ser. No. 09/906,395, filed Jul. 15, 2001, and Ser. No. 10/099,900, filed Mar. 14, 2002 now abandoned the entire disclosures of which are incorporated herein by this reference.
FIELD OF THE INVENTION
This invention relates generally to apparatus for facilitating installation and use of wafer fabrication equipment in a manufacturing environment.
BACKGROUND OF THE INVENTION
Buildings employed for production of semiconductor wafers are specially designed to accommodate heavy manufacturing equipment and the many fluid, gas, vacuum and electrical lines (i.e., facilities lines) that are necessary for semiconductor manufacturing. In some cases, a material to be conducted by a facilities line is of a hazardous nature, and the facilities line is therefore provided as a double-containment line, to minimize the risk of the material escaping from the facilities line.
The Semiconductor Equipment Manufacturing Institute (SEMI) has proposed facilities connection locators in conjunction with a standardized equipment support pedestal upon which semiconductor manufacturing equipment may be installed. The proposed facilities connection locators facilitate pre-plumbing of facilities lines so that connection of facilities to the semiconductor manufacturing equipment can be more easily performed. However, the SEMI proposal, and other proposals in regard to facilities connection locators, have not addressed the particular requirements of providing for convenient pre-plumbing of double-containment lines which carry hazardous materials.
SUMMARY OF THE INVENTION
A first aspect of the invention provides a facilities connection box that is adapted to couple double-containment lines to semiconductor manufacturing equipment. The inventive facilities connection box includes an enclosure, a first isolation compartment defined inside the enclosure, a second isolation compartment defined inside the enclosure, and an isolation partition which defines a boundary between the first and second isolation compartments. The inventive facilities connection box also includes a first entry port associated with the first isolation compartment and adapted to couple to a first incoming double-containment fluid line and a first exit port associated with the first isolation compartment and adapted to permit egress of a first outgoing fluid line from the first isolation compartment. The inventive facilities connection box further includes a second entry port associated with the second isolation compartment and adapted to couple to a second incoming double-containment fluid line, and a second exit port associated with the second isolation compartment and adapted to permit egress of a second outgoing fluid line from the second isolation compartment. The first isolation compartment is adapted to accommodate a fluid flow path between the first entry port and the first exit port, and the second isolation compartment is adapted to accommodate a fluid flow path between the second entry port and the second exit port.
According to a second aspect of the invention, a facilities connection box adapted to couple double-containment lines to semiconductor manufacturing equipment is provided. The inventive facilities connection box according to the second aspect of the invention includes an enclosure, and a plurality of isolation compartments contained within the enclosure. Each isolation compartment is divided from an adjacent isolation compartment by an isolation partition. Each isolation compartment has associated with it a respective entry port adapted to couple to a respective incoming double-containment fluid line and a respective exit port adapted to permit egress of a respective outgoing fluid line from the respective isolation compartment. Each aspect may further include a coupling connector installed within the isolation compartment to couple a double-containment facility line (which enters the isolation compartment via the entry port) with an existing line which is bound for a semiconductor device manufacturing tool.
The inventive apparatus accommodates pre-plumbing of facilities lines, including double-containment lines carrying hazardous materials, to a location at which semiconductor manufacturing equipment is to be installed. The inventive facilities connection box may be installed in conjunction with a support pedestal on which the semiconductor manufacturing equipment is to be installed. The inventive facilities connection box may present a standardized facilities interface to the semiconductor manufacturing equipment, thereby aiding in efficient installation of the semiconductor manufacturing equipment. Moreover, the inventive facilities connection box provides isolation of hazardous material facilities lines from each other, thereby minimizing risks and adverse consequences of material leaks, and surrounds the area that is most likely to leak (i.e., the connection between the double containment line and the line that couples to the semiconductor device manufacturing equipment), with a drip container and optionally with exhaust containment.
A source of rinsing/neutralizing fluid, a leak detection sensor, and a manually or automatically controllable drain may be associated with each isolation compartment to detect and address any leak which occurs therein. The inventive facilities connection box may also accommodate facilities lines which are not double-containment lines and do not carry hazardous materials.
Other features and advantages of the present invention will become more fully apparent from the following detailed description of exemplary embodiments, the appended claims and the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic top perspective view of manufacturing equipment installed at a manufacturing location in accordance with the prior art;
FIG. 2 is a schematic top perspective view of the proposed SEMI rectangular support pedestal installed at a manufacturing location;
FIG. 3 is a schematic top perspective view of the proposed SEMI rectangular support pedestal with manufacturing equipment installed on the support pedestal;
FIG. 4 is a schematic top perspective view of one embodiment of an inventive support pedestal;
FIG. 5 is a schematic top perspective view of the support pedestal of FIG. 4 installed at a manufacturing location and having manufacturing equipment mainframe attached thereto;
FIG. 6 is a schematic top perspective view of an alternative embodiment of the support pedestal of the present invention installed at a manufacturing location;
FIG. 7 is a schematic top perspective view of the support pedestal of FIG. 6 installed at a factory location with manufacturing equipment installed thereon;
FIG. 8 is a schematic top perspective view of the support pedestal of the present invention installed below the level of a raised floor;
FIG. 9 is a side view of the embodiment of FIG. 8 with manufacturing equipment installed thereon;
FIG. 10 is a side view of gooseneck connectors at an inventive facilities connection locator;
FIG. 11 is an isometric view, taken from above, of a manufacturing equipment support apparatus, showing an improved facilities connection locator coupled thereto;
FIG. 12 is an exploded close up isometric view of the facilities connection locator of FIG. 11, taken from above;
FIG. 13 is an isometric side view, taken slightly from below, of a facilities connection line of FIG. 11;
FIG. 14 is a side view showing the facilities connection line of FIG. 13 coupled to a facilities connection port in a fixed relationship;
FIG. 15A is a bottom isometric view of a first fluid line connector;
FIG. 15B is a bottom isometric view of a second fluid line connector;
FIG. 16 is an exploded close up isometric view of the facilities connection locator of FIG. 11, taken from below;
FIG. 17 is a close up isometric view of the facilities connection locator of FIG. 11 taken from above and at an angle that better shows a riser surrounding a facilities connection plate thereof;
FIG. 18 is an isometric view, taken from above, of a manufacturing equipment support apparatus, showing an improved facilities connection locator coupled thereto and having additional features not shown in FIG. 11;
FIG. 19 is a top isometric view of an exemplary standardized facilities box;
FIG. 20 shows the standardized facilities box of FIG. 19 having a plurality of add-on features coupled thereto;
FIG. 21 is a side elevational view showing a pair of the standardized facilities boxes of FIG. 19 coupled together;
FIG. 22 is a top plan view of a standardized facilities box having a bridge flange and filler plate coupled thereto;
FIG. 23 is a close up perspective view, taken from the side, showing an exemplary locating mechanism coupled in a tongue and groove manner to a unistrut rail type coupling mechanism;
FIG. 24 is an isometric view, taken from above, of a semiconductor manufacturing equipment support pedestal with which a facilities connection box provided in accordance with the invention is installed;
FIG. 25 is an isometric view, taken from below, of the semiconductor manufacturing equipment support pedestal of FIG. 24, with the inventive facilities connection box installed therewith;
FIG. 26 is an isometric view of the inventive facilities connection box shown in FIGS. 24 and 25;
FIG. 27 is a top plan view of the inventive facilities connection box;
FIG. 28 is a front elevational view of the inventive facilities connection box;
FIG. 29 is a side elevational view of the inventive facilities connection box; and
FIG. 30 is a schematic block diagram showing a controller coupled to certain components of the inventive facilities connection box.
DETAILED DESCRIPTION
A facilities connection box provided in accordance with the invention is adapted to handle double-containment lines which carry hazardous materials. The inventive facilities connection box includes respective isolation compartments for each incoming double-containment facilities line. A junction between the incoming double-containment line and a line connecting to the semiconductor manufacturing equipment can be located within the associated isolation compartment, so that any leak which occurs at the junction can be contained within the respective isolation compartment. A source of rinsing/neutralizing fluid, a leak detection sensor, and a manually or automatically controllable drain may be associated with each isolation compartment to detect and address any leak which occurs therein. The inventive facilities connection box may also accommodate facilities lines which are not double-containment lines and do not carry hazardous materials.
The inventive facilities connection box will be described below in connection with FIGS. 24-30. FIGS. 1-23 illustrate, by way of background, various semiconductor manufacturing equipment pedestals with which the inventive facilities connection box may be installed, or other apparatus that may be included in an installation with the inventive facilities connection box.
FIG. 1 is a schematic top perspective view of manufacturing equipment installed at a manufacturing location in accordance with the prior art. As depicted therein, manufacturing equipment 111, shown as semiconductor processing equipment including two loadlock chambers 113 and 115, a mainframe 117 and one or more processing chambers 119 (only one shown), is supported by a plurality of support legs 121 which extend from mounting feet (not shown) on the bottom of the manufacturing equipment 111 down to base mount location pads 123 disposed on an underlying waffle-grid floor 125. Typically each of the support legs 121 is custom fabricated for the installation, in order to assure that the manufacturing equipment 111 is level, and also so as to connect the support legs to the interstices of the waffle sub-floor. Generally, the suppliers of the manufacturing equipment 111 will provide mounting feet (not shown) which are of adjustable height. In that way upon final installation of the manufacturing equipment 111, when each of the mounting feet is attached to a support leg 121, final leveling of the manufacturing equipment 111 can be conducted by adjusting the height of each of the mounting feet. While a manufacturing location will have facilities lines 127 brought to the manufacturing level, further plumbing is required for connection of the facilities lines 127 to the manufacturing equipment 111 after the physical installation (i.e., anchoring of the manufacturing equipment 111 on support legs 121 and leveling thereof) is completed. As noted above, disadvantages of the FIG. 1 prior art installation include the additional time and cost for providing customized support legs 121 and the difficulty in pre-planning or pre-facilitating the manufacturing location due to the fact that the locations of the support legs 121 may interfere with pre-plumbed facilities lines 127.
FIG. 2 is a schematic top perspective view of the proposed rectangular SEMI support pedestal 130 installed at a manufacturing location. The SEMI support pedestal 130 provides an alternative to the customized support legs 121 of FIG. 1. The SEMI support pedestal 130 comprises a rectangular pedestal frame 135 supported on a plurality of SEMI support pedestal legs 131, each of which is aligned to or near one of the interstices of the waffle-grid floor 125. The SEMI pedestal frame 135 includes a plurality of SEMI facilities connection locations 137 for establishing pre-facilitation locations to which facilities lines 127 can be pre-plumbed prior to the installation of the manufacturing equipment 111 of FIG. 3. The SEMI pedestal frame 135 additionally may be adapted to support raised flooring 139.
FIG. 3 is a schematic top perspective view of the proposed SEMI support pedestal 130 with manufacturing equipment 111 installed thereon. With like reference numerals indicating previously-referenced components, FIG. 3 depicts the SEMI support pedestal 130 having a rectangular SEMI pedestal frame 135 disposed on a plurality of SEMI pedestal support legs 131 which extend down to base mount locations on the waffle-grid floor 125. SEMI facilities connection locations 137 are provided along the SEMI pedestal frame 135 as pre-facilitation locations for connection of facilities lines 127. When manufacturing equipment 111 is installed on the SEMI support pedestal 130, crossbeam members 138 typically must be attached to the SEMI pedestal frame 135 to support the manufacturing equipment 111, and to transfer and distribute the weight of the manufacturing equipment 111 to the SEMI support pedestal 130. Given the fact that the manufacturing equipment 111 has a unique shape, the installation of the manufacturing equipment 111 on a SEMI support pedestal 130 requires “customization” for both support and facilities connections.
The SEMI support pedestal 130, by attachment and alignment to the top edge of the SEMI pedestal frame 135, does provide the alignment and leveling reference points for installation of manufacturing equipment 111, and provides pre-plumbing reference points (i.e., the facilities connection locations 137). Nonetheless, the installation of the manufacturing equipment 111 requires customized support fabrication of the crossbeam members 138 and/or cantilevers (not shown), and customized retrofitting for connecting the facilities lines 127 from the facilities connection locations 137 to the actual facilities connection points (not shown) on the manufacturing equipment 111. As noted above, modifications to certain facilities lines can adversely affect the flow through those lines to the potential detriment of both the manufacturing process and the manufacturing equipment 111.
FIG. 4 is a schematic top perspective view of an inventive support pedestal 140. The inventive support pedestal 140 comprises a support frame 145 having a plurality of support legs 141 extending downward there from. The support frame 145 has a frame outline which substantially duplicates the bottom outline of the mainframe 117 of the manufacturing equipment 111, with the “bottom outline” of the mainframe 117 being defined by the lower frame of the mainframe 117 itself. In one aspect the support frame 145 may be monolithic so as to provide the enhanced support integrity which comes from a “seamless” frame. The support frame 145 includes brackets 147 for engaging the load-bearing mounting feet of the manufacturing equipment (if any).
The support legs 141 are adjustable and comprise an outer leg section 144 fixedly mounted (e.g., bolted or welded) to the support frame 145, and an inner leg section 142. The inner leg section 142 is slideably mounted in the outer leg section 144, so that the length of the support legs 141 can be adjusted and, once optimized, locked in place by bolting or welding the inner leg section 142 to the first outer leg section 144. The support legs 141 are disposed on base mount location pads 143, which can be affixed (e.g., removable via bolts, or welded) to the support legs 142 prior to installation or can be provided at the installation site. Additionally affixed to the support legs 141 are optional seismic braces 149. A first end of each seismic brace 149 is fixedly mounted to a support leg 141 as shown, (or alternatively could be attached directly to the waffle grid flooring) while a second end of the seismic brace 149 is provided for attachment to the manufacturing equipment 111 upon installation thereof.
The inventive support pedestal 140 includes at least one facilities connection locator 150 which is fixedly mounted to the support frame 145 and which establishes the facilities connection locations, representatively shown as the four facilities connection locations 151-154, which exactly match the facilities connection points on the manufacturing equipment 111. Optional outer flanges (not shown) at the periphery of the support frame 145, as well as optional inner flanges (not shown) are provided for supporting raised flooring (as shown in FIG. 5).
FIG. 5 is a schematic top perspective view of the inventive support pedestal 140 of FIG. 4, installed at a manufacturing location and having the mainframe 117 attached thereto. The inventive support pedestal 140 includes the support frame 145, having a frame outline which substantially duplicates (and preferably exactly duplicates) the bottom outline of the mainframe 117, and a plurality of support legs 141, each of which extends to a base mount location pad 143 positioned on the waffle-grid floor 125. In at least one embodiment of the invention, the frame outline need not substantially (or otherwise) duplicate the bottom outline of the mainframe 117. Seismic braces 149 are attached to the support legs 141 and to the mainframe 117. The facilities connection locator 150 is attached to the support frame 145 to provide the fixed facilities connection locations 151-154 (shown in FIG. 4). The illustrated support pedestal 140 may have raised flooring (not shown) attached at the periphery of the support frame 145. It is to be noted that the support pedestal 140 can alternatively be installed just below the level of the raised flooring, as depicted in FIG. 8 (discussed herein below).
The inventive support pedestal 140 provides manufacturing equipment alignment (due to the shape of the pedestal frame 145) and leveling (due to the adjustable legs), as well as providing fixed facilities connection locations 151-154, all of which can be established in advance of the manufacturing equipment installation with reference to a datum point 100 (FIG. 7) of the factory location. That is, a datum point is identified at the factory location and the support pedestal is aligned, leveled and plumbed with reference thereto. Since the frame outline of the support frame 145 matches the bottom outline of the mainframe 117 of the manufacturing equipment which is installed on the support frame 145, and since the quantity and positioning of the plurality of support legs 141 has been adapted to engage the manufacturing equipment's load-bearing mounting feet for support of the particular manufacturing equipment being supported by the inventive support pedestal 140, no additional support structures (such as the custom-fabricated steel jacks, concrete piers, crossbeams or cantilevers) are required. Furthermore, given the fact that all of the facilities connection locations 151-154 of the facilities connection locator 150 are pre-aligned to the facilities connection points on the manufacturing equipment itself, no retrofitting of facilities lines and connectors is required, thereby avoiding interference with flow patterns within the facilities lines. Using the factory location's datum point, therefore, the factory location can be pre-facilitated with all of the facilities lines pre-plumbed to the specified location of the facilities connection locations 151-154 at the facilities connection locator 150.
The support pedestal 140 is adaptable to specific manufacturing equipment configurations, as illustrated in FIG. 6. For the installation of a semiconductor processing system, such as is depicted in FIG. 7, which includes not only the mainframe processing unit 117 but also the factory interface 114 with loadlock 113 and 115 and a process chamber 119, the support pedestal 140 can be augmented with at least one additional support 160, including an additional frame 165 supported by additional support legs 161 extending to additional base mount location pads 163 to support the factory interface 114, the loadlocks 113, 115 or the processing chamber 119 (FIG. 7). The components of the addition support 160 may be configured in the same manner as the components of the support pedestal 140 with adjustable legs 161 positioned below load bearing mounting of the manufacturing equipment positioned on the additional support 160 and/or frame 165 that duplicates the bottom of the manufacturing equipment. The additional support component 160 may be joined to the support pedestal 140 by connecting segments 167. Alternatively, however, the pedestal frame 140 can be extended to include the support for the additional manufacturing equipment (e.g., the processing chamber 119). Thus, a single support pedestal 140 may be configured to support one or more pieces of manufacturing equipment or a plurality of support pedestals may be coupled directly or via a connecting segment 167. In this example of FIG. 6, the support pedestal 140 includes an additional facilities connection locator 170 with additional facilities connection locations (171 of FIG. 8) as needed (e.g., for the additional processing chamber 119).
FIG. 7 is a top perspective view of the support pedestal 140 at a factory location with the manufacturing equipment 111, including the factory interface 114, the loadlocks 113 and 115, the mainframe processing unit 117 (shown partially cut away so that the bottom footprint thereof is visible) and the additional processing chamber 119 installed thereon. The illustrated additional processing chamber 119, like the factory interface 114, may be supported independently as described with reference to FIG. 6. The factory interface 114 is supported by the additional support component 160 comprising additional frame component 165 supported by additional support legs 161 which extend down to additional base mount location pads 163.
The mainframe 117 of the manufacturing equipment 111 is mounted on the support frame 145, which is in turn supported by support legs 141 which extend to the base mount location pads 143 coupled (e.g., welded or bolted) to the waffle-grid flooring 125. In the FIG. 7 embodiment, the mainframe processing unit 117 has been provided by the manufacturing equipment supplier on its own mounting frame 156 to which load-bearing mounting feet 157 are affixed. In such an embodiment, the bottom outline of the mainframe 117 is defined by the locations of the load-bearing mounting feet 157 as mounted to the mounting frame 156 (provided by the manufacturing equipment supplier) for the mainframe 117.
FIG. 8 is a schematic top perspective view of the support pedestal 140 of the present invention installed below the level of the raised flooring 168. In such an alternate embodiment, the support frame 145 (not shown) would be installed on support legs 141 which extend down to base mount location pads 143 on the waffle-grid flooring 125 as in the previously-described embodiments. Raised flooring 168 would be installed on top of the support pedestal 140, with openings provided for the facilities connection locator 150 of the mainframe 117 (not shown), for the additional facilities connection locations 171 of the additional facilities connection locator (not shown) which is provided for the additional processing chamber 119 (not shown), and for the brackets 147 which will engage the load-bearing mounting feet 157 of the manufacturing equipment 111.
FIG. 9 is a side view of the embodiment of FIG. 8 with manufacturing equipment 111 installed thereon. As shown therein, the raised flooring 168 has the openings for brackets 147 (FIG. 8) which accommodate load-bearing mounting feet 157. The mainframe processing unit 117 is provided on its mounting frame 156 which includes load-bearing mounting feet 157 to be attached to the brackets 147 (FIG. 8) of the underlying support pedestal 140. The support frame 145 of the support pedestal 140 is fixedly attached to the plurality of support legs 141, each of which extends to and is coupled to the base mount location pads 143 on the waffle-grid floor 125. Facilities connections 155 are shown projecting up from the facilities connection locations 151-154 (not shown) of facilities connection locator 150 to be provided to the mainframe processing unit 117. The additional processing chamber 119 has additional facilities connections 175 extending up through its additional facilities connection locations 171 (FIG. 6) associated with the additional facilities connection locator 170.
FIG. 10 is a side view of gooseneck connectors 191 which provide facilities connection between the facilities supply lines 193 of a factory location and the facilities connection locations 151-154 of a facilities connection locator 150 of the present invention (or any other facilities connection locations). The gooseneck connectors 191 comprise a plurality of connector segments 195 which are alternately oriented to flexibly approximate a “straight” flow path between the facilities supply lines 193 and the facilities connection locations of the facilities connection locator 150.
The invention has been described with reference to several specific embodiments. One having skill in the relevant art will recognize that modifications may be made without departing from the spirit and scope of the invention. For example, it is to be noted that the manufacturing equipment 111 may include some non-load-bearing feet (hereinafter referred to as “anchoring feet”) which may be provided for additional lateral securing of the manufacturing equipment to the support frame 145. The number and locations of the support legs 141 of the inventive support pedestal 140 are selected to match the number and locations of the load-bearing mounting feet 157 on the bottom outline of the manufacturing equipment. It is to be understood that, without departing from the invention as taught and claimed, additional anchoring feet may be provided on the manufacturing equipment, and additional brackets for engaging the anchoring feet may be provided on the inventive support pedestal 140 in locations which may or may not align with support legs 141.
In addition, the illustrated seismic braces, including variable length ball-end rods 149 of FIG. 4, are merely representative of one embodiment of the optional feature. An alternative embodiment would include a piece of thick metal strapping, which would first be secured to the support leg, followed by custom-bending in situ, and then bolting or welding into place. By either method, the support pedestal would be triangulated in orthogonal directions, thus preventing the vertical support legs from deforming to the point of failure during a seismic event.
While it has been taught that a molded, monolithic pedestal frame is advantageous for mechanical integrity, clearly a pedestal frame comprising a plurality of bonded (e.g., welded) or fixedly coupled (e.g., bolted) pieces can be substituted without departing from the invention as claimed.
Yet another modification comprises the use of standardized spacers as the mounting and anchoring feet, in place of the adjustable mounting and anchoring feet which have traditionally been employed for in situ leveling of manufacturing equipment. The inventive support pedestal has adjustable legs which are adjusted prior to installation of the manufacturing equipment to thereby pre-establish the alignment and leveling of the manufacturing equipment; therefore, fixed spacers are recommended since the fixed spacers maintain the fixed parallel relationship between the support frame and the manufacturing equipment which has been established relative to the datum point and since no in situ leveling of the manufacturing equipment will be required.
The support leg sections could also be. tubular, right angle sections (“angle iron”), or triangular or etc., they need not be rectangular. Also, the lower portion of the support leg could be either the outer or the inner portion. As an alternative to being bolted-on, the mounting of the support legs to the pedestal frame could also be welded-on, designed so that they would attach underneath the frame (in compression), or designed to fit into underside receptacles fabricated as part of the frame itself, or some combination of these.
Finally, pre-facilitation of a factory location can be conducted using a “map” of the support pedestal and its facilities connection locator with facilities connection locations defined relative to a datum point of the factory location. A medium (polycarbonate film) having a full-scale outline of the inventive support pedestal, with or without facilities connection locations denoted, can be delivered to the factory location prior to installation of the support pedestal. Once the polycarbonate film is spread out on the factory floor relative to the datum point, the x and y coordinates (i.e., the coordinates in the horizontal plane) for each facilities connection location will be precisely defined in situ and appropriate plumbing, electrical, construction can be performed prior to installation of the inventive support pedestal.
FIG. 11 is an isometric view, taken from above, of a manufacturing equipment support apparatus 201, showing an improved facilities connection locator 203 coupled thereto. The facilities connection locator 203 comprises a bottom surface 205 and a plurality of side walls 207 extending upwardly therefrom so as to form a fluid tight “bucket”. The facilities connection locator 203 has at least one fluid connection port formed therein and may also have any number of vacuum connection ports, and/or facilities connection plates, the features of which are best understood with joint reference to FIG. 11 and to FIGS. 12-17 which show various views of the facilities connection locator 203 and/or its facilities line connectors.
The exemplary facilities connection locator 203 shown comprises four fluid connection ports 209 (FIG. 12) and three vacuum connection ports 211 (FIG. 12 and FIG. 16). Each vacuum connection port 211 is shown surrounded by a riser 213.
A mounting mechanism (such as mounting flanges 215) extends from the facilities connection locator 203 and interfaces with features of the equipment support apparatus 201 so that the facilities connection locator 203 is mounted to the equipment support apparatus 201 with a predetermined relationship (i.e., such that features of the facilities connection locator 203 are fixed in the x-axis and y-axis directions relative to the outline or footprint of the equipment support apparatus 201, and are fixed in the z-axis direction relative to a top surface 217 of the equipment support apparatus 201). In the exemplary embodiment shown, the mounting flanges 215 of the facilities connection locator 203 rest on corresponding mounting flanges 219 located within the footprint of the equipment support apparatus 201 and recessed slightly below the top surface 217 of the equipment support apparatus 201 such that the top surface of the facilities connection locator 203 and the mounting flanges 215 thereof are flush with the top surface 217 of the equipment support apparatus 201.
Consider, for example the riser 213, each of which extends a predetermined height Z1, above the bottom surface 205. Accordingly, because the bottom surface 205 is a predetermined height Z2 below the top surface 217, the z-axis position of the top of the riser 213 is known relative to the top surface 217. The same principle is true for the remaining features of the equipment support apparatus 201, such as the z-axis position of any fluid line connectors 220 relative to the top surface 217 or the z-axis position of any facilities connection plate 221 relative to the top surface 217.
The riser 213 may be employed with a facilities connection line 223 having a z-axis locating mechanism such as a locating flange 225, best understood with reference to FIGS. 13 and 14. As shown in FIGS. 13 and 14, the facilities connection line 223 has a top surface 227 which may comprise a flange, as shown. The top surface 227 has a fixed height relationship Z3 with respect to the top of the riser 213 (FIG. 14). Thus, as the top of the riser 213 has a fixed z-axis position with respect to the top surface 217, so does the top surface 227.
In order to facilitate accurate z-axis positioning/mounting between the locating flange 225 and the riser 213, the locating flange 225 may comprise a V-shaped groove 229, and the riser 213 may comprise a plurality of holes (not shown) formed at a predetermined height above the bottom surface 205. In one aspect the V-shaped groove 229 and the holes (not shown) in the riser 213 are configured such that when a top surface 231 of the locating flange 225 is flush with the top surface of the riser 213, the center of the V-shaped groove 229 is adjacent the holes. A screw 233 may then be threaded through a nut 235 and through the hole in the riser 213 so as to extend into the center of the V-shaped groove 229. As will be apparent, the nut 235 ensures that the screw 233 is normal to the hole in the riser 213, and the normally positioned screw 233 contacts the equally sloped sides of the V-shaped groove 229 ensuring that the facilities connection line 223 is precisely positioned in the z-axis direction. Although the facilities connection line 223 is shown only as a vacuum line connection in the figures, it will be understood that the facilities connection line 223 also may be employed as a fluid line connector.
In one aspect, the fluid line connectors 220 may be configured as shown in the bottom isometric view of FIG. 15A. Each fluid line connector 220 comprises a fluid line 237 having a planar surface 239 that extends horizontally from the fluid line 237 and, when coupled to the facilities connection locator 203, is positioned such that the planar surface 239 extends along the interior side of the facilities connection locator 203's bottom surface 205. An o-ring 241 is disposed along the planar surface 239 so as to form a fluid tight seal between the planar surface 239 and the interior side of the facilities connection locator 203's bottom surface 205. A portion of the fluid line 237 that is to extend downward from an exterior surface of the facilities connection locator 203's bottom surface 205 is threaded (not shown) so that a threaded bolt 243 may be threaded thereon, as shown. Thus, the bolt 243 may be tightened such that the o-ring 241 is held firmly between the planar surface 239 and the facilities connection locator 203's bottom surface 205, forming a fluid tight seal. Because the planar surface 239 has a fixed offset from the top of the fluid line 237, and the fluid line 237 has a known height, the top of the fluid line 237 is a fixed or known distance from the bottom surface 205 of the facilities connection locator 203, and from the top surface 217.
Any of the connections described above may also be welded to the facilities connection locator 203, as shown in FIG. 15B. By welding or otherwise integrally forming vacuum and/or fluid line connectors to the bottom surface 205, the facilities connection locator 203 is fluid tight without the need for the special fluid tight design of the fluid line connectors 220 described above, and without the need for the riser 213. Further, the welded or integrally formed fluid line connectors 220 and facilities connection lines 223 will have fixed positions (in the x-y and z axis) relative to the facilities connection locator 203 and hence relative to the top surface 217 of the equipment support apparatus. Such welded/integral fluid line connectors 220 and facilities connection lines 223 are shown in the isometric view of FIG. 15B, and may also have an optional industry standard clamp flange (not shown).
An optional feature of the facilities connection locator 203 is the facilities connection plate 221, which is best shown by FIGS. 16 and 17. FIG. 16 is an exploded close up isometric view of the facilities connection locator 203, taken from below; and FIG. 17 is a close up isometric view of the facilities connection locator 203 taken from above and at an angle that better shows a riser 213 that surrounds the facilities connection plate 221.
As with the vacuum connection port 211, the riser 213 surrounds the facilities connection plate 221 so that if fluid should fill the facilities connection locator 203, the facilities connection plate 221 will be protected therefrom. As best shown in FIG. 12 the facilities connection plate 221 may also have an integrally formed riser 213 a which makes mounting of the facilities connection plate 221 easier. The integrally formed riser 213 a may also have welded corners so as to be fluid tight. The connection plate's riser 213 a is adapted to couple to the riser 213 so that a bottom surface 245 of the facilities connection plate 221 is a fixed height above the bottom surface 205 of the facilities connection locator 203, and thus, is a fixed height offset from the top surface 217. Alternatively, rather than a riser, the connection plate 221 may have an integral edge that extends downwardly to facilitate mounting of the connection plate 221. The facilities connection plate 221 has a plurality of removable panels 247 (e.g., knock out panels with perforated edges that facilitate easy removal) that may be individually removed to allow facilities lines (e.g., electrical, gas, fluid or pressure lines, etc.) to pass therethrough.
FIG. 18 is an isometric view, taken from above, of a manufacturing equipment support apparatus, showing an improved facilities connection locator 203 a coupled thereto and having additional features not shown in FIG. 11. The facilities connection locator 203 a has the additional feature of a gravity drain 249, located on the bottom surface 205 of the fluid tight bucket 203. The bottom surface 205 may be sloped, such that the drain 249 is at a slightly lower elevation than the remainder of the bottom surface 205. Also shown in FIG. 18 is a liquid level sensor 251 that detects when fluid in the facilities connection bucket 203 has reached a certain level. The liquid level sensor 251 may be coupled to a controller (not shown) that will notify an operator of the fluid level, will shut of the fluid flow through the fluid line(s) connected to the facilities connection locator 203 and/or will activate a fluid pump coupled to the facilities connection locator 203. A fluid pump 253 which automatically begins to pump fluid when it senses the same may also be employed.
A further feature that may be employed with any equipment support apparatus, is an airflow control plate 255 as shown in FIG. 11. The airflow control plate 255 may be mounted to the support apparatus via a plurality of flanges or any other suitable mechanism. The airflow control plate 255 has a plurality of openings (e.g., in a preferred embodiment evenly distributed holes of equal size) to control airflow. The plate may be adapted to fully or partially occupy an interior region of the support apparatus' frame outline. Accordingly, where gaps exist between the installed equipment and the pedestal's top surface, the airflow control plate 255 may be installed to reduce turbulence, and/or to prevent objects from falling into the gap.
Like the airflow control plate 255, the facilities connection locator 203 may be any size and shape, and may occupy all or any portion of the outline of the support apparatus' outline. Both the airflow control plate 255 and facilities connection locator 203 may also be mounted to extend beyond the outline of the support apparatus' frame. Such a facilities connection locator 203 would still provide accurate positioning of facilities lines with respect to the top surface 217 of the equipment support apparatus 201, and such an airflow control plate 255 would still provide airflow management and safety functions.
FIG. 19 is a top isometric view of an exemplary standardized facilities box 301. The exemplary standardized facilities box 301 comprises a bottom surface 303 and a plurality of side walls 305. The standardized facilities box 301 of FIG. 19 therefore provides inherent drop containment and may prevent servicing tools or other components dropped while working in the standardized facilities box 301 from falling to the subfloor. Accordingly, use of the standardized facilities box 301 may increase safety for those working in the subfloor region.
The standardized facilities box 301 comprises mechanisms that allow one or more add-on features to be selectively coupled (i.e., attached at predetermined locations without machining). Such mechanisms may be, for example, conventional mechanisms such as tongues or grooves for coupling to a corresponding tongue or groove of an add-on feature, snap couplings, threaded couplings, predrilled bolt or screw holes, premachined slots, etc. The specific mechanism employed for selective coupling is not material. Accordingly, in FIG. 19 the coupling mechanisms are generally represented by reference number 307. When a specific one of the exemplary coupling mechanisms is described, an alphabetical reference is added to the general reference number 307.
An individual add-on feature may be selectively coupled to the standardized facilities box 301 if that specific add-on feature is needed for a particular installation. In this manner installation is both facilitated, as on site machining of the facilities box 301 is not required, and standardized, as the specific add-on features are in a known location within the standardized facilities box 301. To the extent there is more than one location within a given standardized facilities box 301 for a given add-on feature, it follows that the location for the add-on feature may be further specified. Standardization of facilities connections simplifies troubleshooting, enables use of standardized documentation such as installation, maintenance or safety information. Moreover, standardization of facilities connection locations (e.g., process gas or fluid lines, vacuum or exhaust lines) from one installation to the next, promotes greater processing uniformity. In one aspect, the specific add-on features may be positioned (e.g., moved around adjacent various coupling mechanisms, until a desired fit (for example, allowing the desired number of add on features) is achieved.
Coupling mechanisms 307 of an exemplary standardized facilities box 301 may include, for example, a guide (e.g., a grooved track or rail) 307 a which may run along the interior and/or exterior of the bottom surface 303 and/or along the interior or exterior of the one or more side walls 305. One or more locating mechanisms 307 b (such as snap couplings, bolt/screw holes, or mechanical stops, etc.) may be positioned along the guide 307 a as shown on the bottom wall 305 of FIG. 19. FIG. 23 is a close up perspective view, taken from the side, showing an exemplary locating mechanism 307 b (such as a tubing clamp) coupled in a tongue and groove manner to a unistrut rail type coupling mechanism 307 a Alternatively, the guide 307 a may be omitted, and only the locating mechanisms 307 b (bolt holes, or threaded holes, etc.) employed, as shown on the sidewall 305 of FIG. 19.
Other coupling mechanisms 307 may comprise one or more precut openings, or perforated knockouts (e.g., portions of the sidewalls or bottom of the facilities box that may be easily removed with manual force, such as when struck by a hammer or mallet), through which facilities connections may be made (e.g., through which a subfloor facilities line may be coupled to a processing tool located above the raised floor). The precut openings may include a removable cap 307 c (FIG. 20) which may snap or screw in place, for example. The precut openings of perforated knockouts may include snap, twist or screw on mechanisms to which a riser 213 (such as that described with reference to FIG. 12) or other z-axis locating mechanism may be selectively coupled. The top of the side walls 305 may include a coupling mechanism for coupling a cover to the standardized facilities box 301 (e.g., a hinge 307 e (FIG. 20)), a prefacilitated location for mounting a hinge, snap fit mechanisms or tabs).
The exemplary standardized facilities box 301 also may comprise a flange 309 for coupling the standardized facilities box 301 to the raised floor 168 (FIG. 8), to a support pedestal 140 (FIG. 8) or to a bridge flange 311 (shown in FIG. 22). The flange 309 may include a coupling mechanism 307 for coupling the flange 309 to any one of a number of bridge flanges 311 (FIG. 22). In one aspect the flange 309 and the bridge flange 311 may couple, simply by overlapping such that the bridge flange 311 supports the flange 309. Each of the bridge flanges 311 may be adapted to couple to a floor tile, tool pedestal, etc., of differing thickness. Further each bridge flange 311 may be of varying size so as to fill open space (resulting from floor tile removal) that is not occupied by the standardized facilities box 301. Alternatively, a filler plate F (FIG. 22) may be provided to occupy such open space. Standard sizes of filler plates F may be provided for use with commonly used raised floor systems. The filler plate F may be perforated so as not to interfere with laminar air flow, and will be strong enough to support operator traffic. It will be understood that any selectively coupleable member that adapts a standardized facilities box to a floor tile grid system that employs floor tiles having a larger footprint then the footprint of the standardized facilities box (e.g., larger than the footprint of the flange 309), may be considered a bridge mechanism. In this manner, floor tile cutting may be avoided, and standardized facilities box 301 installation further facilitated.
FIG. 20 shows the standardized facilities box 301 of FIG. 19 having a plurality of add-on features coupled thereto. It should be emphasized that the positions of the add-on features are merely exemplary, as the standardized facilities box 301 may allow a number of predefined positions for a given add-on feature.
As shown in FIG. 20, a partition 313 is shown coupled to the guide 307 a that runs along the bottom surface 303, and may function to separate incompatible facilities (e.g., fluids and electrical lines). A document storage compartment 315 is shown coupled to the guide 307 a that runs along one of the side walls 305. As with each of the add-on features, the document storage compartment 315 may be coupled to a coupling mechanism 307 at any location. A particularly advantageous location may be to locate the document storage compartment 315 on the underside of the cover 321.
A sensor 317 (e.g., a heat, fluid, or pressure sensor) is shown coupled to the locating mechanisms 307 b. The sensor 317 may include a warning indicator such as a visual (e.g., a flag that ejects from a cylinder and is visible through the transparent cover 321) or audible warning indicator. A lockout mechanism 319 may operatively couple to the sensor 317 and may function for example to lock a cover 321 (shown hingedly coupled to the standardized facilities box 301) when a given condition (fire, leak, etc.) is detected by the sensor 317 or is detected elsewhere in the semiconductor fabrication facility. Also, any individual facilities connection line within the facilities box 301 may be locked and tagged, as is conventionally known.
Every connection within the box may be locked and tagged out simultaneously via a lock out tag out mechanism 322 fixed to the cover 321 and adapted to allow the cover to be locked and tagged. Conventionally, tagging out means to attach an indicator that identifies for example, which operator has locked the device. Typically the indicators are in the form of a tag, thus the term “tag out” is conventionally employed. Such a mechanism may comprise for example, a pair of sidewardly extending tabs, one that extends from the cover 321, and one that extends from the side of the standardized facilities box 301. Each tab may have a hole H formed therethrough. The two holes H may be adapted such that a lock 322 b (e.g., padlock or combination lock, etc.) and tag 322 c can be inserted therethrough, thus locking the cover 321 to the facilities box 301.
Alternatively, the box may include an internal cover (not shown) that need not be adapted to support the weight of personnel or equipment. The internal cover may include a tab that extends upwardly and has a hole that aligns with a hole on a tab internal to the facilities box, thus allowing the internal cover to be locked to the facilities box. Thereafter, the cover 321, may be closed over the internal cover, if desired. As will be apparent, a lockout mechanism may take a number of different forms, and may be located at a number of different positions. Accordingly, the lock out mechanism shown and described herein is merely exemplary.
The cover 321 may have a recessed and/or retractable handle 320 (FIG. 20) to facilitate lifting. Provided the cover 321 is transparent, a floor lighting strip 323 may be coupled to the standardized facilities box 301 via one of the guides 307 a that extend along a side wall 305 of the standardized facilities box 301. The floor lighting strip 323 may be coupled to the sensor 317, and may be adapted to illuminate when a leak, or other malfunction is detected by the sensor 317. Alternatively the floor lighting strip 323 may be coupled to a central monitor, and may be illuminated as floor lighting in the event the lights in the FAB are inoperable. Similarly a lifting mechanism 325 (see FIG. 20) such as a pneumatic lift may be coupled to the standardized facilities box 301 for example via locating mechanisms 307 b located on bottom surface 303 of the standardized facilities box 301, and may be adapted to lift/lower items to or from the standardized facilities box 301. Such items may include the cover 321.
In the example of FIG. 20, a pair of tool mounting mechanisms 329 such as snap connectors are shown mounting a service tool (e.g., a wrench). In other embodiments a tool storage mechanism such as an enclosure may be mounted within the standardized facilities box 301. An exhaust mechanism 330 may be coupled (e.g., to a knockout panel 247 (FIG. 12) located on the bottom 303 or the side/front wall 305) and may be adapted to maintain the internal region of the facilities box 301 at a negative pressure (e.g., coupled to a pump), so as to prevent gas leaks from escaping the box 301. Facilities connections are preferably made in the region internal to the facilities box 301, such that leaks (gas, fluid, etc.) may be contained therein.
FIG. 21 is a side elevational view showing a pair of the standardized facilities boxes 301 of FIG. 19 coupled together or merely placed side by side. In this embodiment the standardized facilities boxes 301 may be coupled via coupling mechanisms (such as a joiner plate (not shown), or an interlocking coupling (not shown)) located on their respective flanges 309. Such interlocking couplings would preferably join in an over/under relationship, so as to maintain planarity with the surrounding floor. Alternatively the standardized facilities boxes 301 may be coupled via coupling mechanisms 307 that are positioned along the sidewalls 305 of the respective standardized facilities boxes 301 or via a bridge flange 311.
Also shown in FIG. 21 are support legs 331 a-b, coupled to the standardized facilities boxes 301 via the guide 307 a that extends along the exterior of the bottom surface 303. The first support leg 331 a is shown extending to the waffle grid subfloor 125 (FIG. 6), and the second support leg 331 b is shown cantilevered to a support leg 333 which may be a support leg of the raised floor grid 139 or of a support pedestal 140 for a fabrication tool 111. It will be understood that the support legs 331 a-b are optional. Any number of support legs may be employed, and either cantilevered or direct floor coupling supports legs may be employed exclusively. Although, for clarity, the flanges 309 of the standardized facilities boxes 301 are shown resting on top of the raised floor 139, in a preferred aspect, the flanges 309 of the standardized facilities boxes 301 will be flush with the raised floor or equipment support pedestal to which they are mounted. Note that reference number 340 represents a floor beam of a raised floor, or a beam of an equipment support pedestal.
Installation of one or more new tools for semiconductor device fabrication may be easier and more repeatable from one installation to the next, with use of the inventive system which provides standardized locations for facilities connections, and/or prefacilitated locations for inclusion of add-on features. An exemplary method for using the inventive system to achieve standardization may include indicating a location within a fabrication facility for installing a facilities box; providing a standardized facilities box; providing a plurality of add-on features and specifying which add-on feature should be selectively coupled to which selective coupling mechanism of the standardized facilities box.
Although the inventive system of standardized facilities boxes and add-on features is most advantageous for locating and standardizing facilities connections to a processing tool, in other aspects, the standardized facilities box may be used for locating joints in a length of a fluid, gas or electrical line which may extend along a factory for producing semiconductor devices (i.e., a FAB). By locating such joints with a facilities box, areas where maintenance or further connections are most likely to be needed are easy to identify and access. Further, inherent drop and/or leak containment may be provided by the standardized facilities box. The facilities boxes may be fluid tight and/or may be maintained at a negative pressure via coupling to a facilities exhaust line.
In other aspects the facilities location box may merely provide a convenient location for containing needed tools, documents, safety indicators, floor lights, etc. Accordingly, in a further aspect, the invention comprises a FAB that employs facilities boxes in such a manner. Another aspect comprises a method for standardized FAB construction, that provides specific locations for standardized facilities boxes, and specific ad-on features to be included therein. Finally, it should be understood that many of the add-on features may themselves be inventive when used within a facilities box. Accordingly, the invention may comprise a facilities box with such features, whether or not the add-on feature is fixedly or selectively coupled to the box. Examples may include a facilities box that comprises a support leg of its own, thus allowing the facilities box to be coupled directly to a raised floor (as shown in FIG. 21), rather than to an equipment pedestal. Further examples may include a facilities box that comprises lift/lowering mechanisms, and/or a facilities box that includes sensors, an exhaust mechanism, or a lockout mechanism (i.e., a mechanism adapted to automatically lock a cover of the facilities box when a specific condition is sensed).
FIG. 24 is an isometric view, taken from above, of a semiconductor manufacturing equipment support pedestal 401, to which a facilities connection box 403, provided in accordance with an aspect of the invention, is installed. FIG. 25 is an isometric view taken from below of the support pedestal 401 and the facilities connection box 403. The support pedestal 401 may be such as to support semiconductor manufacturing equipment of the type referred to as a “polisher”, which is a type of equipment that is well known in the art. A polisher may require one or more slurries or other potentially hazardous materials that should be brought to the polisher within suitable double-containment lines to reduce the possibility of a leak of such materials out into the fabrication facility. The inventive facilities connection box 403 is adapted to accommodate double-containment facility lines and provides a convenient, standardized point of junction for both double-containment lines and non-double-containment lines adjacent the place of installation of the semiconductor manufacturing equipment. (Although not so indicated in the drawings, support legs 404 of the support pedestal 403 may be formed of cylindrical sections in accordance with teachings of commonly assigned co-pending U.S. patent application Ser. No. 10/214,878, filed Aug. 8, 2002, entitled “Adjustable Support Leg for Semiconductor Device Manufacturing Equipment Support Pedestal”, which is incorporated herein in its entirety by reference.)
Details of the facilities connection box 403 will now be described with reference to FIGS. 26-29. FIG. 26 is an isometric view of the inventive facilities connection box 403. FIG. 27 is a top view of the inventive facilities connection box 403, shown with the cover removed. FIG. 28 is a front elevational view of the inventive facilities connection box 403. FIG. 29 is a side elevational view of the inventive facilities connection box 403.
The inventive facilities connection box 403 includes an enclosure 405. In the particular embodiment illustrated in FIGS. 26-29, the enclosure 405 is generally rectangular, with a step at the rear 407 of the enclosure 405. Note the step may be omitted. In the embodiment shown the step down region is included because the rear portion of the exemplary facilities connection box 403 is intended to fit beneath the frame of the equipment pedestal, and the front portion is intended to be flush with the FAB floor.
The enclosure 405 is made up of a front wall 409, side walls 411, 413, a bottom wall 415, a rear wall 417, a top plate 419, an intermediate top wall 421 and an intermediate rear wall 423. A removable cover 425 (shown above the remainder of the inventive facilities connection box 403) selectively closes the top portion of the enclosure 405 adjacent the top plate 419. The top plate 419 and the cover 425 (when in place) may be considered to constitute a top wall of the enclosure 405. The cover 425 may include a leak-proof seal, which is not separately shown. A suitable arrangement (not shown) may be associated with the cover 425 to selectively vent vapors from the enclosure 405 to a vapor recovery handler (not shown). Mounting brackets 427 (FIG. 26, only one bracket being visible in the drawing) are provided, for example, on the side walls 411, 413 to aid in mounting the facilities connection box 403 on the support pedestal 401.
Isolation partitions 429, 431, 433, 435, 437 and 439 are disposed within the enclosure 405. The isolation partitions, for example, may be oriented parallel to the side walls 411, 413 of the enclosure 405 and positioned at intervals along the front wall 409. The isolation partitions serve to define isolation compartments 441, 443, 445, 447, 449 and 451 within the enclosure 405. The isolation partitions extend the length of the enclosure 405, (e.g., from the front wall 409 to the rear wall 417) and are joined in a liquid-tight manner to the bottom wall 415, and, in this example, the front wall 409 and the rear wall 417. As best seen in FIG. 28, the isolation partitions all have substantially the same height, which is less than the height of the front wall 409 and the side walls 411, 413. Consequently, the isolation compartments are open in an upward direction below the cover 425.
It will be observed that in this example, the front walls of the isolation compartments are constituted by the front wall 409 of the enclosure 405. The isolation partition 429 defines a boundary between the isolation compartments 441 and 443. The isolation compartment 441 is also bounded by the side wall 411 of the enclosure 405. The isolation partition 431 defines a boundary between the isolation compartments 443 and 445; the isolation partition 433 defines a boundary between the isolation compartments 445 and 447; the isolation partition 435 defines a boundary between the isolation compartments 447 and 449; and the isolation partition 437 defines a boundary between the isolation compartments 449 and 451. The isolation partition 439 defines a boundary between the isolation compartment 451 and a compartment 453. Although isolated from the isolation compartment 451, the compartment 453 may be shared, as will be seen, by a plurality of incoming facilities lines for which isolation from each other is not desired. In this example, the isolation partitions are spaced along the front wall 409 such that the isolation compartments 441, 443 are relatively large, to accommodate relatively large-diameter double-containment facilities lines, whereas the isolation compartments 445, 447, 449 and 451 are relatively small to accommodate smaller-diameter double-containment facilities lines. The top plate 419 may be considered to form a portion of a top wall of the isolation compartments 441, 443, 445, 447, 449, 451 and of the shared compartment 453.
In this example, entry ports 455, 457, 459, 461, 463, 465, 467, 469, 471, 473 and 475 are provided on the front wall 409 of the enclosure 405. As shown, the entry port 455 is adapted to couple to a relatively large-diameter double-containment facility line, and is formed in the front wall of the isolation compartment 441. The entry port 457 is also adapted to couple to a relatively large-diameter double-containment facility line and is formed in the front wall of the isolation compartment 443. The entry ports 459, 461, 463 and 465 are all adapted to couple to respective small-diameter double-containment facility lines and are respectively formed in the front walls of the isolation compartments 445, 447, 449 and 451. Thus the entry ports 455, 457, 459, 461, 463 and 465 are respectively associated with, and provide access to, the isolation compartments 441, 443, 445, 447, 449 and 451.
The entry ports 467, 469 and 471 are provided on the front wall 409 of the enclosure 405 at the locus of the shared compartment 453, and are respectively adapted to couple to facility lines that are not double-containment lines, and for which no isolation is desired.
Exit ports 477, 479, 481, 483, 485, 487, 489, 491, 493, 495 and 497 are formed in the top plate 419 of the enclosure 405. The exit ports 477, 479, 481, 483, 485, 487, 489, 491, 493, 495 and 497 are respectively associated with the entry ports 455, 457, 459, 461, 463, 465, 467, 469, 471, 473 and 475. In particular, the exit port 477 is positioned to accommodate a line 499 (FIGS. 27, 29), which exits from the isolation compartment 441 bound for the semiconductor processing equipment (e.g., a polisher) 501 (FIG. 29). Note that the top plate 419 limits unwanted access (e.g. by dropped objects, etc.) to the facilities box 403, and limits airflow into/out of the facilities box 403. Although less desirable, the top plate 419 may be omitted.
Similarly, referring to FIG. 27, the exit port 479 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 443 bound for the semiconductor manufacturing equipment 501; the exit port 481 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 445 bound for the semiconductor manufacturing equipment 501; the exit port 483 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 447 bound for the semiconductor manufacturing equipment 501; the exit port 485 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 449 bound for the semiconductor manufacturing equipment 501; and the exit port 487 is positioned and adapted to accommodate a fluid line (not shown) which exits from the isolation compartment 451 bound for the semiconductor manufacturing equipment 501.
The exit ports 489, 491 and 493 are positioned and adapted to accommodate fluid lines (not shown) which exit from the shared compartment 453.
An exhaust port 521 is also formed in the top plate 419 of the enclosure 405. The exhaust port 521 is adapted to be connected to an exhaust line (not shown) which vents or exhausts the enclosure 405.
In the space above the isolation compartments 441, 443, 445, 447, 449 and 451, and above the isolation partitions 429, 431, 433, 435, 437 and 439, a spray mechanism 523 extends transversely relative to the isolation partitions. Spray nozzles 525 may extend (e.g., downwardly) from the spray mechanism 523 into the isolation compartments. The specific configuration of the spray mechanism may vary, for example, in another aspect the spray mechanism may comprise a bar having a plurality of openings through which fluid may flow. A fluid supply line 527 (FIG. 27) couples the spray mechanism 523 to a fluid source which is not shown.
Upon occurrence of a chemical leak in one or more of the isolation compartments, a fluid (e.g., water or another neutralizing fluid) may be sprayed into the isolation compartments via the spray mechanism 523 and the spray nozzles 525 to neutralize the leaking chemical and/or to rinse the isolation compartments.
A respective liquid detection sensor 529 (FIG. 28) is provided at or near the bottom of each of the isolation compartments 441, 443, 445, 447, 449, 451 and the shared compartment 453 to detect fluid leaks therein. Each of the isolation compartments 441, 443, 445, 447, 449 and 451 and the shared compartment 453 is provided with a respective drain 531. A respective manually and/or electronically controlled drain valve 530 is associated with each of the drains 531. As shown, the drains 531 are preferably located along a wall of the enclosure 405 other than the bottom wall 415 so as to reduce the possibility of fluid leaks there from. However, in other embodiments, the drains 531 may be positioned along the bottom wall 415 with proper sealing.
FIGS. 27 and 29 show a double-containment facility line 533, suitable for carrying a hazardous material, coupled to the entry port 455 associated with the isolation compartment 441. Although not shown in the drawings, it will be understood that other facilities lines may be connected to each of the other entry ports 457, 459, 461, 463, 465, 467, 469, 471, 473 and 475. In one embodiment, by way of example, the facility line 533 may be a drain line for draining hazardous materials and other materials from the semiconductor manufacturing equipment 501, and the lines 457 and 455 may be drain lines for waste deionized water and used polisher slurry, respectively.
Each of the entry ports 459, 461, 463 and 465 (respectively associated with isolation compartments 445, 447, 449, 451) may be connected to a respective double-containment slurry supply line (not shown). The entry ports 467 and 469 may be connected to cooling lines (not shown) and the entry port 471 may be connected to a deionized water supply line (not shown). The entry ports 473 and 475 may be connected to gas supply lines (not shown) for which isolation is not required.
Referring to FIG. 29, a coupling connector 535 is installed within the isolation compartment 441 to couple the double-containment facility line 533, which enters the isolation compartment 441 via the entry port 455, with the exiting line 499, which is bound for the semiconductor device manufacturing equipment 501 via the exit port 477 (FIG. 27). Thus a flow path is provided from the entry port 455 to the exit 477. (As noted above, the facility line 533 may be a drain line, such that the actual flow of material may be in the direction from the exit port 477 to the entry port 455.)
Although not shown in the drawings, a similar arrangement of a coupling connector and a flow path between an entry port and an exit port may be provided in each of the other isolation compartments.
As schematically illustrated in FIG. 30, a controller 537 is coupled to the liquid detection sensors 529 to receive leak detection signals from the sensors 529 (FIGS. 29 and 30). The controller 537 is also coupled to the spray mechanism 523 (and/or to the above-mentioned liquid supply (not shown) associated with the spray mechanism 523) to selectively spray rinsing and/or neutralizing fluid into the isolation compartments. The controller 537 is also coupled to drain valves 530, to selectively open the drain valves 530 so as to drain the isolation compartments 441, 443, 445, 447, 449, 451 and the shared compartment 453 when required. The controller 537 shown in FIG. 30 may be a control device dedicated to controlling the facilities connection box 403 or alternatively may control other equipment as well. For example, the controller 537 may also control other facilities connection boxes (not shown) or may be a controller which controls the semiconductor manufacturing equipment 501 and/or which controls part or all of the semiconductor fabrication facility.
Referring again to FIG. 28, fluid lines 541, 543, 545, 547, 549 are shown exiting isolation compartments 443, 445, 447, 449, 451 respectively, bound for the semiconductor manufacturing equipment 501 (FIG. 29).
The facilities connection box provided in accordance with the present invention accommodates pre-plumbing of facilities lines required for semiconductor manufacturing equipment, thereby promoting convenient installation of the semiconductor manufacturing equipment. The inventive facilities connection box is suitable for use with double-containment facilities that may carry hazardous materials. In addition, the inventive facilities connection box is arranged to isolate and control leakage of hazardous material which may occur at a junction between the facilities lines and lines terminating at the semiconductor manufacturing equipment. Other features and advantages the inventive facilities box may provide are as follows:
    • provides an easily accessible prefacilities connection point to speed the installation of wafer processing equipment (for example, the Applied Materials CMP Reflexion and Mira Mesa systems);
    • provides an easily accessible, safe, double containment enclosure to connect plumbing lines for hazardous chemical, liquid based material;
    • provides segregation of hazardous chemical, liquid based materials if a fluid line or connection should develop a leak;
    • provides a method of early leak detection in order to prevent major clean up of hazardous chemical contamination;
    • provides a safe method to neutralize hazardous chemical contamination due to leaks or spillage;
    • provides a controllable method to rinse clean and drain neutralized chemical contamination from all or one segregated area(s) of the container;
    • can be easily constructed of materials (e.g., PVC, Teflon, stainless steel, etc.) that are compatible with the hazardous liquid chemicals that are used within the system;
    • the container may be completely leak proof and may be vented to extract hazardous vapors caused by leaks and spillage; and
    • may have both manual and automatic capability to detect, signal a leak (e.g., activate a visual or audible alarm), neutralize, rinse, drain and/or vent so as to purge the enclosure of hazardous materials.
The foregoing description discloses only an exemplary embodiment of the invention; modifications of the above disclosed apparatus which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For example, the inventive facilities connection box may include more or fewer isolation compartments, compartments adapted to accommodate relatively wide-diameter double-containment lines. Isolation compartments adapted to accommodate relatively small-diameter double-containment lines, and/or non-double-containment lines than the exemplary connection box illustrated herein.
It should also be understood that not every installation of an inventive facilities connection box may have facilities lines connected to every entry port of the facilities connection box.
The facilities connection box of the present invention has been shown as installed with a particular type of semiconductor manufacturing equipment support pedestal. It will be understood, however, that the inventive connection box can be installed with other types of support pedestals, as well as with equipment that does not require a support pedestal.
Although the isolation partitions are each shown as single walls, one or more of the isolation partitions may be formed as a double wall, or more generally as two or more walls with a space or spaces there between.
Also, any opening (in any wall including the less preferred bottom wall) that allows a fluid line to exit from an isolation compartment bound for the semiconductor manufacturing equipment may be considered an exit port.
Moreover, as will be appreciated from previous discussion, when the inventive facilities connection box is installed and in use, fluid may flow in either direction through a given isolation compartment, i.e., either from the entry port to the exit port or from the exit port to the entry port.
The inventive facilities connection box disclosed herein is illustrated in a particular configuration suitable for use with a polisher, but other configurations, and use with other types of semiconductor device manufacturing equipment, would fall within the scope of the invention.
Accordingly, while the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims (25)

1. A facilities connection box adapted to couple double-containment lines to semiconductor device manufacturing equipment, comprising:
an enclosure;
a first isolation compartment defined inside the enclosure;
a second isolation compartment defined inside the enclosure;
an isolation partition which defines a boundary between the first and second isolation compartments;
a first entry port associated with the first isolation compartment and adapted to couple to a first incoming double-containment fluid line;
a first exit port associated with the first isolation compartment and adapted to permit egress of a first outgoing fluid line from the first isolation compartment;
a second entry port associated with the second isolation compartment and adapted to couple to a second incoming double-containment fluid line; and
a second exit port associated with the second isolation compartment and adapted to permit egress of a second outgoing fluid line from the second isolation compartment, to the semiconductor device manufacturing equipment;
wherein:
the first isolation compartment is adapted to accommodate a fluid flow path between the first entry port and the first exit port; and
the second isolation compartment is adapted to accommodate a fluid flow path between the second entry port and the second exit port.
2. The facilities connection box of claim 1, wherein the first entry port is formed in a front wall of the first isolation compartment and the second entry port is formed in a front wall of the second isolation compartment.
3. The facilities connection box of claim 2, wherein the front walls of the first and second isolation compartments are formed by a front wall of the enclosure.
4. The facilities connection box of claim 1, wherein the first exit port is formed in a top wall of the first isolation compartment and the second exit port is formed in a top wall of the second isolation compartment.
5. The facilities connection box of claim 1, wherein the first and second exit ports are formed in a top plate of the enclosure.
6. The facilities connection box of claim 5, wherein the isolation partition is below and does not contact the top plate of the enclosure.
7. The facilities connection box of claim 6, further comprising a spray mechanism that extends laterally above the isolation partition.
8. The facilities connection box of claim 7, wherein the spray mechanism is adapted to spray fluid into both of the first and second isolation compartments.
9. The facilities connection box of claim 1, further comprising:
a first drain formed in a bottom wall of the first isolation compartment; and
a second drain formed in a bottom wall of the second isolation compartment.
10. The facilities connection box of claim 1, further comprising:
a first liquid detection sensor positioned to detect liquid in the first isolation compartment; and a second liquid detection sensor positioned to detect liquid in a second isolation compartment.
11. The facilities connection box of claim 1, further comprising:
a first liquid detection sensor positioned to detect liquid in the first isolation compartment;
a second liquid detection sensor positioned to detect liquid in the second isolation compartment;
a first drain formed in a bottom wall of the first isolation compartment;
a first drain valve coupled to the first drain;
a second drain formed in a bottom wall of the second isolation compartment;
a second drain valve coupled to the second drain;
a spray mechanism adapted to spray a fluid into both of the first and second isolation compartments; and
a controller coupled to the first and second liquid detection sensors, the first and second drain valves and the spray mechanism and adapted to:
receive detection signals from the first and second liquid detection sensors;
selectively open the first and second drain valves; and
selectively actuate the spray mechanism to spray fluid into the first and second isolation compartments.
12. The facilities connection box of claim 1, further comprising a spray mechanism that extends laterally above the isolation partition.
13. The facilities connection box of claim 12, wherein the spray mechanism is adapted to spray a fluid into both of the first and second isolation compartments.
14. The facilities connection box of claim 1, further comprising:
a first flow path provided within the first isolation compartment and including a coupling connector that couples a line that enters the first isolation compartment via the first entry port with a line that exits the first isolation compartment via the first exit port; and
a second flow path provided within the second isolation compartment and including a coupling connector that couples a line that enters the second isolation compartment via the second entry port with a line that exits the second isolation compartment via the second exit port.
15. The facilities connection box of claim 2, wherein the first exit port is formed in a top wall of the first isolation compartment and the second exit port is formed in a top wall of the second isolation compartment.
16. The facilities connection box of claim 2, wherein the first and second exit ports are formed in a top plate of the enclosure.
17. The facilities connection box of claim 1 further comprising an exhaust mechanism coupled to a pump and adapted to remove exhaust from the enclosure.
18. A facilities connection box adapted to couple double-containment lines to a semiconductor device manufacturing tool, comprising:
an enclosure;
a plurality of isolation compartments contained within the enclosure, each isolation compartment being divided from an adjacent isolation compartment by an isolation partition, each isolation compartment having associated therewith a respective entry port adapted to couple to a respective incoming double-containment fluid line and a respective exit port adapted to permit egress of a respective outgoing fluid line from the respective isolation compartment.
19. The facilities connection box of claim 18, wherein the isolation compartments are arranged in a row parallel to a front wall of the enclosure.
20. The facilities connection box of claim 19, further comprising a spray mechanism that extends parallel to the front wall of the enclosure and is adapted to spray a fluid into each of the isolation compartments.
21. The facilities connection box of claim 20, further comprising a plurality of drains, each formed in a bottom wall of a respective one of the isolation compartments.
22. The facilities connection box of claim 21, further comprising a plurality of liquid detection sensors, each positioned to detect liquid in a respective one of the isolation compartments.
23. The facilities connection box of claim 18, further comprising a second plurality of entry ports that are not isolated from each other.
24. The facilities connection box of claim 22, further comprising:
a plurality of drain valves, each coupled to a respective one of the drains; and
a controller coupled to the liquid detection sensors, the drain valves and the spray mechanism and adapted to:
receive detection signals from the liquid detection sensors;
selectively open the drain valves; and
selectively actuate the spray mechanism to spray fluid into the isolation compartments.
25. The facilities connection box of claim 18 further comprising an exhaust mechanism coupled to a pump and adapted to remove exhaust from the enclosure.
US10/335,968 2000-11-03 2003-01-02 Facilities connection box for pre-facilitation of wafer fabrication equipment Expired - Fee Related US7032614B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/335,968 US7032614B2 (en) 2000-11-03 2003-01-02 Facilities connection box for pre-facilitation of wafer fabrication equipment

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US70643500A 2000-11-03 2000-11-03
US09/906,395 US7063301B2 (en) 2000-11-03 2001-07-15 Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US10/099,900 US20020162938A1 (en) 2000-11-03 2002-03-14 Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US10/335,968 US7032614B2 (en) 2000-11-03 2003-01-02 Facilities connection box for pre-facilitation of wafer fabrication equipment

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US70643500A Continuation-In-Part 2000-11-03 2000-11-03
US09/906,395 Continuation-In-Part US7063301B2 (en) 2000-11-03 2001-07-15 Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US10/099,900 Continuation-In-Part US20020162938A1 (en) 2000-11-03 2002-03-14 Facilities connection bucket for pre-facilitation of wafer fabrication equipment

Publications (2)

Publication Number Publication Date
US20030173490A1 US20030173490A1 (en) 2003-09-18
US7032614B2 true US7032614B2 (en) 2006-04-25

Family

ID=28046247

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/335,968 Expired - Fee Related US7032614B2 (en) 2000-11-03 2003-01-02 Facilities connection box for pre-facilitation of wafer fabrication equipment

Country Status (1)

Country Link
US (1) US7032614B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050193948A1 (en) * 2004-03-08 2005-09-08 Yuuzou Oohirabaru Vacuum processing apparatus
US20080187417A1 (en) * 2003-11-10 2008-08-07 Van Der Meulen Peter Semiconductor wafer handling and transport
US20090216061A1 (en) * 2008-02-05 2009-08-27 Applied Materials, Inc. Systems and methods for treating flammable effluent gases from manufacturing processes
US20090222128A1 (en) * 2008-02-05 2009-09-03 Applied Materials, Inc. Methods and apparatus for operating an electronic device manufacturing system
US20090324367A1 (en) * 2008-06-27 2009-12-31 Masakazu Isozaki Vacuum processing apparatus
US20120289057A1 (en) * 2011-05-11 2012-11-15 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
CN106716595A (en) * 2014-08-19 2017-05-24 英特尔公司 Consumable interface plate for tool install
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) * 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
GB2504477B (en) * 2012-07-27 2015-08-26 Caterpillar Ni Ltd Base and Mounting Means for a Generator
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10145492B2 (en) * 2016-07-14 2018-12-04 Surelock, Llc Valve lockout device with viewing port and method
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
GB201718752D0 (en) * 2017-11-13 2017-12-27 Edwards Ltd Vacuum and abatement systems
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD885139S1 (en) * 2018-03-23 2020-05-26 David L. Ackerman Coffee pod holder
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US444533A (en) 1891-01-13 Beer-cooling apparatus
US1742886A (en) 1928-08-22 1930-01-07 Vitrified Iron Products Compan Drain pan
US2197598A (en) 1938-07-13 1940-04-16 Harry C Way Packaging or crating structure
US2268263A (en) 1941-05-15 1941-12-30 Dresser Mfg Company Pipe fitting
US2814995A (en) 1952-09-24 1957-12-03 Phillips Petroleum Co Mounting device
US3036375A (en) 1959-05-14 1962-05-29 Gen Electric Method of mounting machines
US3096781A (en) 1960-10-10 1963-07-09 Joseph L Roidt Drip pan for automatic dishwashing machines and similar appliances
US3676568A (en) 1971-07-09 1972-07-11 Robertson Co H H Hold-down means for underfloor access housing
US3713620A (en) 1969-10-17 1973-01-30 G Tkach Machine supporting slab
US3721051A (en) 1971-07-01 1973-03-20 Robertson Co H H Bottomless sub-assembly for producing an underfloor electrical cable trench
US3843281A (en) 1972-11-28 1974-10-22 Bbc Brown Boveri & Cie Casing of a fluid flow machine
US3848379A (en) 1973-01-10 1974-11-19 Robertson Co H H Reversible trim strip with attached gasket for an underfloor electrical cable trench
US3851674A (en) 1971-12-27 1974-12-03 Robertson Co H H Supplementary raceway for an underfloor electrical cable trench
US3862350A (en) 1973-10-03 1975-01-21 Singer Co Radio frequency interference shielding
US3902615A (en) 1973-03-12 1975-09-02 Computervision Corp Automatic wafer loading and pre-alignment system
US3904524A (en) 1973-06-11 1975-09-09 Advanced Fibre Glass Ltd Container structure
US3903666A (en) 1974-10-21 1975-09-09 Robertson Co H H Access arrangement for an electrical wiring distributing floor structure
US3913964A (en) 1973-01-12 1975-10-21 John R Lukeman Apparatus and system for gaining access to conflagrations
US3932696A (en) 1973-12-26 1976-01-13 H. H. Robertson Company Underfloor access housing utilizing a trough space of a cellular flooring unit
US4012873A (en) 1976-05-12 1977-03-22 H. H. Robertson Company Protective cap for underfloor access housing
US4024684A (en) 1971-06-02 1977-05-24 H. H. Robertson Company Pre-notched building panel with splice plate and method of preparing the same
US4084865A (en) 1976-10-26 1978-04-18 Joyce James E Utility container
US4085987A (en) 1976-03-29 1978-04-25 Vartdal Robert B Tackle box
US4178469A (en) 1978-07-21 1979-12-11 H. H. Robertson Company Closure device and floor structure utilizing the same
US4194332A (en) 1978-02-02 1980-03-25 H. H. Robertson Company Electrical wiring distribution system
US4209660A (en) 1978-09-07 1980-06-24 Textron Inc. Out-of-sight service fittings
US4243197A (en) 1979-06-25 1981-01-06 Wright Marvin D Pad for protecting floors against water damage
US4289921A (en) 1979-12-26 1981-09-15 H. H. Robertson Company Electrical activating assembly and closure member therefor
US4323723A (en) 1980-04-04 1982-04-06 H. H. Robertson Company Surface mounted outlet unit
US4351613A (en) 1980-02-08 1982-09-28 Hope Henry F Tanks for mixing apparatus
EP0094780A1 (en) 1982-05-15 1983-11-23 H.H. Robertson (U.K.) Limited Flooring system with service trunking provision
US4480656A (en) 1977-05-20 1984-11-06 Johnson Robert L Plumbing fixture
US4505449A (en) 1980-02-22 1985-03-19 Diversitech Corporation Lightweight concrete cladded heavy equipment base
US4510980A (en) 1983-11-16 1985-04-16 Shopsmith, Inc. Table assembly for a multipurpose tool
US4558544A (en) 1983-03-30 1985-12-17 H. H. Robertson Company Adjustable pedestal for elevated floors
US4572474A (en) 1983-03-05 1986-02-25 Klein, Schanzlin & Becker Aktiengesellschaft Mounting assembly for machines
US4603523A (en) 1984-06-20 1986-08-05 H. H. Robertson Company Underfloor access housing
US4643303A (en) 1985-10-15 1987-02-17 Micromedics, Inc. Modular sterilizing system
US4685585A (en) 1986-10-09 1987-08-11 Robbins Howard J Double wall tank manway system
US4696496A (en) 1984-10-31 1987-09-29 Societe Nationale Industrielle Aerospatiale System for putting two contiguous enclosures into individual communication with the outside
US4721476A (en) 1985-12-23 1988-01-26 Interchangeable Hatches Inc. Electrical connection box used in conjunction with raised floors
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4728750A (en) 1987-02-20 1988-03-01 H. H. Robertson Company Receptable support assembly
US4770121A (en) 1982-11-27 1988-09-13 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus
US4835924A (en) 1986-12-17 1989-06-06 Tate Acess Floors Self-gridding flooring system
US4850162A (en) 1988-07-26 1989-07-25 H. H. Robertson Company Access floor system
US4852516A (en) 1986-05-19 1989-08-01 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
USRE33220E (en) 1984-02-13 1990-05-22 Interstitial Systems, Inc. Modular combination floor support and electrical isolation system for use in building structures
US5008491A (en) 1987-08-24 1991-04-16 Butler Manufacturing Company Floor box for access floors
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5083364A (en) 1987-10-20 1992-01-28 Convac Gmbh System for manufacturing semiconductor substrates
US5098221A (en) 1988-12-20 1992-03-24 Osborne Keith J Flexible double-containment piping system for underground storage tanks
US5107775A (en) 1991-05-06 1992-04-28 Sylvain Langlais Adjustable legs for desk and the like
US5123435A (en) 1991-03-27 1992-06-23 Tate Access Floors, Inc. Laminar damper and method of airflow control
EP0497281A2 (en) 1991-01-29 1992-08-05 Shinko Electric Co. Ltd. wafer airtight keeping unit and keeping facility thereof
US5152635A (en) 1991-09-06 1992-10-06 Double Containment Systems Underground containment tank with removable piping assembly
US5205592A (en) 1991-06-24 1993-04-27 Double Containment Systems Underground containment tank and piping assembly
US5246044A (en) 1991-02-20 1993-09-21 Environmental Protection Products, Inc. Fuel dispenser pump containment apparatus
US5280132A (en) 1989-10-26 1994-01-18 Eaton Corporation Plastic enclosure box for electrical apparatus
US5285923A (en) 1991-01-24 1994-02-15 Brandon Jr Darrell W American wet tank system
US5297896A (en) 1992-02-19 1994-03-29 Environ Products, Inc. Environmentally safe underground piping system
US5323903A (en) 1989-01-23 1994-06-28 Harry Bush Portable container security device
US5333825A (en) 1992-09-25 1994-08-02 Christensen Emeron P Furniture elevating device
US5398620A (en) 1993-02-04 1995-03-21 Rouch; Kenneth E. Leveling apparatus for a level sensitive device
US5427474A (en) 1993-01-25 1995-06-27 Ameron, Inc. Double containment piping system and centralization seal therefor
US5437303A (en) 1992-05-29 1995-08-01 Johnson; Addison M. Apparatus for containing fluid leaks and overflows from appliances
US5499473A (en) 1994-11-16 1996-03-19 Ramberg; Clifford F. Divided bait container
US5505295A (en) 1993-07-27 1996-04-09 Whittington; C. Wendell Recycling systems and methods for oil and oil filters
US5555845A (en) 1994-09-29 1996-09-17 Flynn; Thomas S. Container and method for transporting live crustaceans
JPH08323036A (en) 1995-05-31 1996-12-10 Daikoku Denki Co Ltd Ball box carrier, ball box elevation controller and ball box elevation system
US5681063A (en) 1995-11-28 1997-10-28 E.R. Squibb & Sons, Inc. Connector assembly for double tubing
US5713550A (en) 1996-04-29 1998-02-03 Machine-O-Matic Limited Modular pedestal for vending machines
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5738436A (en) 1996-09-17 1998-04-14 M.G. Products, Inc. Modular lighting fixture
US5836130A (en) 1997-02-14 1998-11-17 Unruh; Arnold E. Water line guiding and holding device
WO1999003133A1 (en) 1997-07-11 1999-01-21 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5881760A (en) 1996-09-23 1999-03-16 Del Zotto; William M. Modular steel tank with casting wall
US6028267A (en) 1997-04-15 2000-02-22 Byrne; Norman R. Rotatable power center system
US6061983A (en) 1998-06-01 2000-05-16 Mccleskey; Michael Removable utility connection floor box and method
US6066807A (en) 1998-02-20 2000-05-23 Gudgeon; Thomas Alan Electrical wire and box connector
WO2000054930A2 (en) 1999-03-15 2000-09-21 Boehringer Werkzeugmaschinen Gmbh Machine tool
US6133981A (en) 1997-06-11 2000-10-17 Tokyo Electron Ltd. Processing system
US6173856B1 (en) 2000-04-20 2001-01-16 Ultratech International, Inc. Spill containment pan
US6183021B1 (en) 1997-02-25 2001-02-06 Fluoroware, Inc. Pass-through dual containment fitting
US6187103B1 (en) 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
US6216991B1 (en) 1997-03-07 2001-04-17 Fujitsu Limited Foot structure for apparatus
US6230735B1 (en) 1998-12-04 2001-05-15 Sergio M. Bravo Valve jacket
EP1107288A2 (en) 1999-11-30 2001-06-13 Applied Materials, Inc. Substrate processing system and method
US6258332B1 (en) 1999-05-13 2001-07-10 Atlantic Richfield Company Apparatus and method for collecting and recycling a petroleum by-product
US6305407B1 (en) 1998-12-04 2001-10-23 Samar, Inc. Safety hose system
US6308477B1 (en) 2000-06-26 2001-10-30 Ericsson Inc. Telecommunications cabinet isolation, allocation and mounting system
US6324800B1 (en) 1999-12-06 2001-12-04 Portable Pipe Hangers, Inc. Support base
US6328345B1 (en) 2000-01-27 2001-12-11 Liquid Metronics, Inc. Secondary tubing containment system for a metering pump
US6332554B1 (en) 1998-12-22 2001-12-25 Royal Group Technologies Limited Storage box
US20020084402A1 (en) 2000-11-03 2002-07-04 Schauer Ronald Vern Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US20020116882A1 (en) 2000-07-10 2002-08-29 Applied Materials, Inc. Semiconductor substrate processing tool and fabrications facilities intergration plate
US6575904B2 (en) 2000-05-09 2003-06-10 Matsushita Electric Industrial Co., Ltd. Biodata interfacing system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US384837A (en) * 1888-06-19 Furniture-caster
US4085865A (en) * 1976-05-13 1978-04-25 Textron Inc. Elastomeric bladder for positive expulsion tank
US4646303A (en) * 1983-10-05 1987-02-24 Nippon Gakki Seizo Kabushiki Kaisha Data error detection and correction circuit
US6832350B1 (en) * 1998-09-30 2004-12-14 International Business Machines Corporation Organizing and categorizing hypertext document bookmarks by mutual affinity based on predetermined affinity criteria

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US444533A (en) 1891-01-13 Beer-cooling apparatus
US1742886A (en) 1928-08-22 1930-01-07 Vitrified Iron Products Compan Drain pan
US2197598A (en) 1938-07-13 1940-04-16 Harry C Way Packaging or crating structure
US2268263A (en) 1941-05-15 1941-12-30 Dresser Mfg Company Pipe fitting
US2814995A (en) 1952-09-24 1957-12-03 Phillips Petroleum Co Mounting device
US3036375A (en) 1959-05-14 1962-05-29 Gen Electric Method of mounting machines
US3096781A (en) 1960-10-10 1963-07-09 Joseph L Roidt Drip pan for automatic dishwashing machines and similar appliances
US3713620A (en) 1969-10-17 1973-01-30 G Tkach Machine supporting slab
US4024684A (en) 1971-06-02 1977-05-24 H. H. Robertson Company Pre-notched building panel with splice plate and method of preparing the same
US3721051A (en) 1971-07-01 1973-03-20 Robertson Co H H Bottomless sub-assembly for producing an underfloor electrical cable trench
US3676568A (en) 1971-07-09 1972-07-11 Robertson Co H H Hold-down means for underfloor access housing
US3851674A (en) 1971-12-27 1974-12-03 Robertson Co H H Supplementary raceway for an underfloor electrical cable trench
US3843281A (en) 1972-11-28 1974-10-22 Bbc Brown Boveri & Cie Casing of a fluid flow machine
US3848379A (en) 1973-01-10 1974-11-19 Robertson Co H H Reversible trim strip with attached gasket for an underfloor electrical cable trench
US3913964A (en) 1973-01-12 1975-10-21 John R Lukeman Apparatus and system for gaining access to conflagrations
US3902615A (en) 1973-03-12 1975-09-02 Computervision Corp Automatic wafer loading and pre-alignment system
US3904524A (en) 1973-06-11 1975-09-09 Advanced Fibre Glass Ltd Container structure
US3862350A (en) 1973-10-03 1975-01-21 Singer Co Radio frequency interference shielding
US3932696A (en) 1973-12-26 1976-01-13 H. H. Robertson Company Underfloor access housing utilizing a trough space of a cellular flooring unit
US3903666A (en) 1974-10-21 1975-09-09 Robertson Co H H Access arrangement for an electrical wiring distributing floor structure
US4085987A (en) 1976-03-29 1978-04-25 Vartdal Robert B Tackle box
US4012873A (en) 1976-05-12 1977-03-22 H. H. Robertson Company Protective cap for underfloor access housing
US4084865A (en) 1976-10-26 1978-04-18 Joyce James E Utility container
US4480656A (en) 1977-05-20 1984-11-06 Johnson Robert L Plumbing fixture
US4194332A (en) 1978-02-02 1980-03-25 H. H. Robertson Company Electrical wiring distribution system
US4178469A (en) 1978-07-21 1979-12-11 H. H. Robertson Company Closure device and floor structure utilizing the same
US4209660A (en) 1978-09-07 1980-06-24 Textron Inc. Out-of-sight service fittings
US4243197A (en) 1979-06-25 1981-01-06 Wright Marvin D Pad for protecting floors against water damage
US4289921A (en) 1979-12-26 1981-09-15 H. H. Robertson Company Electrical activating assembly and closure member therefor
US4351613A (en) 1980-02-08 1982-09-28 Hope Henry F Tanks for mixing apparatus
US4505449A (en) 1980-02-22 1985-03-19 Diversitech Corporation Lightweight concrete cladded heavy equipment base
US4323723A (en) 1980-04-04 1982-04-06 H. H. Robertson Company Surface mounted outlet unit
EP0094780A1 (en) 1982-05-15 1983-11-23 H.H. Robertson (U.K.) Limited Flooring system with service trunking provision
US4523416A (en) 1982-05-15 1985-06-18 H. H. Robertson (U.K.) Limited Flooring system with service trunking provision
US4770121A (en) 1982-11-27 1988-09-13 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus
US4572474A (en) 1983-03-05 1986-02-25 Klein, Schanzlin & Becker Aktiengesellschaft Mounting assembly for machines
US4558544A (en) 1983-03-30 1985-12-17 H. H. Robertson Company Adjustable pedestal for elevated floors
US4510980A (en) 1983-11-16 1985-04-16 Shopsmith, Inc. Table assembly for a multipurpose tool
USRE33220E (en) 1984-02-13 1990-05-22 Interstitial Systems, Inc. Modular combination floor support and electrical isolation system for use in building structures
US4603523A (en) 1984-06-20 1986-08-05 H. H. Robertson Company Underfloor access housing
US4696496A (en) 1984-10-31 1987-09-29 Societe Nationale Industrielle Aerospatiale System for putting two contiguous enclosures into individual communication with the outside
US4643303A (en) 1985-10-15 1987-02-17 Micromedics, Inc. Modular sterilizing system
US4721476A (en) 1985-12-23 1988-01-26 Interchangeable Hatches Inc. Electrical connection box used in conjunction with raised floors
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4852516A (en) 1986-05-19 1989-08-01 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4685585A (en) 1986-10-09 1987-08-11 Robbins Howard J Double wall tank manway system
US4835924A (en) 1986-12-17 1989-06-06 Tate Acess Floors Self-gridding flooring system
US4728750A (en) 1987-02-20 1988-03-01 H. H. Robertson Company Receptable support assembly
US5008491A (en) 1987-08-24 1991-04-16 Butler Manufacturing Company Floor box for access floors
US5083364A (en) 1987-10-20 1992-01-28 Convac Gmbh System for manufacturing semiconductor substrates
US4850162A (en) 1988-07-26 1989-07-25 H. H. Robertson Company Access floor system
US5098221A (en) 1988-12-20 1992-03-24 Osborne Keith J Flexible double-containment piping system for underground storage tanks
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5323903A (en) 1989-01-23 1994-06-28 Harry Bush Portable container security device
US5280132A (en) 1989-10-26 1994-01-18 Eaton Corporation Plastic enclosure box for electrical apparatus
US5285923A (en) 1991-01-24 1994-02-15 Brandon Jr Darrell W American wet tank system
EP0497281A2 (en) 1991-01-29 1992-08-05 Shinko Electric Co. Ltd. wafer airtight keeping unit and keeping facility thereof
US5246044A (en) 1991-02-20 1993-09-21 Environmental Protection Products, Inc. Fuel dispenser pump containment apparatus
US5123435A (en) 1991-03-27 1992-06-23 Tate Access Floors, Inc. Laminar damper and method of airflow control
US5107775A (en) 1991-05-06 1992-04-28 Sylvain Langlais Adjustable legs for desk and the like
US5205592A (en) 1991-06-24 1993-04-27 Double Containment Systems Underground containment tank and piping assembly
US5152635A (en) 1991-09-06 1992-10-06 Double Containment Systems Underground containment tank with removable piping assembly
US5297896A (en) 1992-02-19 1994-03-29 Environ Products, Inc. Environmentally safe underground piping system
US5297896B1 (en) 1992-02-19 1996-01-30 Environ Prod Inc Environmentally safe underground piping system
US5437303A (en) 1992-05-29 1995-08-01 Johnson; Addison M. Apparatus for containing fluid leaks and overflows from appliances
US5333825A (en) 1992-09-25 1994-08-02 Christensen Emeron P Furniture elevating device
US5427474A (en) 1993-01-25 1995-06-27 Ameron, Inc. Double containment piping system and centralization seal therefor
US5398620A (en) 1993-02-04 1995-03-21 Rouch; Kenneth E. Leveling apparatus for a level sensitive device
US5505295A (en) 1993-07-27 1996-04-09 Whittington; C. Wendell Recycling systems and methods for oil and oil filters
US5555845A (en) 1994-09-29 1996-09-17 Flynn; Thomas S. Container and method for transporting live crustaceans
US5499473A (en) 1994-11-16 1996-03-19 Ramberg; Clifford F. Divided bait container
JPH08323036A (en) 1995-05-31 1996-12-10 Daikoku Denki Co Ltd Ball box carrier, ball box elevation controller and ball box elevation system
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5681063A (en) 1995-11-28 1997-10-28 E.R. Squibb & Sons, Inc. Connector assembly for double tubing
US5713550A (en) 1996-04-29 1998-02-03 Machine-O-Matic Limited Modular pedestal for vending machines
US5738436A (en) 1996-09-17 1998-04-14 M.G. Products, Inc. Modular lighting fixture
US5881760A (en) 1996-09-23 1999-03-16 Del Zotto; William M. Modular steel tank with casting wall
US5836130A (en) 1997-02-14 1998-11-17 Unruh; Arnold E. Water line guiding and holding device
US6183021B1 (en) 1997-02-25 2001-02-06 Fluoroware, Inc. Pass-through dual containment fitting
US6216991B1 (en) 1997-03-07 2001-04-17 Fujitsu Limited Foot structure for apparatus
US6028267A (en) 1997-04-15 2000-02-22 Byrne; Norman R. Rotatable power center system
US6133981A (en) 1997-06-11 2000-10-17 Tokyo Electron Ltd. Processing system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
WO1999003133A1 (en) 1997-07-11 1999-01-21 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6066807A (en) 1998-02-20 2000-05-23 Gudgeon; Thomas Alan Electrical wire and box connector
US6061983A (en) 1998-06-01 2000-05-16 Mccleskey; Michael Removable utility connection floor box and method
US6187103B1 (en) 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
US6305407B1 (en) 1998-12-04 2001-10-23 Samar, Inc. Safety hose system
US6230735B1 (en) 1998-12-04 2001-05-15 Sergio M. Bravo Valve jacket
US6332554B1 (en) 1998-12-22 2001-12-25 Royal Group Technologies Limited Storage box
WO2000054930A2 (en) 1999-03-15 2000-09-21 Boehringer Werkzeugmaschinen Gmbh Machine tool
US6258332B1 (en) 1999-05-13 2001-07-10 Atlantic Richfield Company Apparatus and method for collecting and recycling a petroleum by-product
EP1107288A2 (en) 1999-11-30 2001-06-13 Applied Materials, Inc. Substrate processing system and method
US6324800B1 (en) 1999-12-06 2001-12-04 Portable Pipe Hangers, Inc. Support base
US6328345B1 (en) 2000-01-27 2001-12-11 Liquid Metronics, Inc. Secondary tubing containment system for a metering pump
US6173856B1 (en) 2000-04-20 2001-01-16 Ultratech International, Inc. Spill containment pan
US6575904B2 (en) 2000-05-09 2003-06-10 Matsushita Electric Industrial Co., Ltd. Biodata interfacing system
US6308477B1 (en) 2000-06-26 2001-10-30 Ericsson Inc. Telecommunications cabinet isolation, allocation and mounting system
US20020116882A1 (en) 2000-07-10 2002-08-29 Applied Materials, Inc. Semiconductor substrate processing tool and fabrications facilities intergration plate
US20020084402A1 (en) 2000-11-03 2002-07-04 Schauer Ronald Vern Facilities connection bucket for pre-facilitation of wafer fabrication equipment

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8434989B2 (en) 2003-11-10 2013-05-07 Brooks Automation, Inc. Batch wafer alignment
US20080187417A1 (en) * 2003-11-10 2008-08-07 Van Der Meulen Peter Semiconductor wafer handling and transport
US20080232933A1 (en) * 2003-11-10 2008-09-25 Kiley Christopher C Robotic Chamber Support Pedestal
US20080232947A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US9884726B2 (en) 2003-11-10 2018-02-06 Brooks Automation, Inc. Semiconductor wafer handling transport
US8672605B2 (en) 2003-11-10 2014-03-18 Brooks Automation, Inc. Semiconductor wafer handling and transport
US8197177B2 (en) 2003-11-10 2012-06-12 Brooks Automation, Inc. Semiconductor wafer handling and transport
US8500388B2 (en) 2003-11-10 2013-08-06 Brooks Automation, Inc. Semiconductor wafer handling and transport
US7585383B2 (en) * 2004-03-08 2009-09-08 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20050193948A1 (en) * 2004-03-08 2005-09-08 Yuuzou Oohirabaru Vacuum processing apparatus
US9387428B2 (en) 2008-02-05 2016-07-12 Applied Materials, Inc. Systems and methods for treating flammable effluent gases from manufacturing processes
US20090222128A1 (en) * 2008-02-05 2009-09-03 Applied Materials, Inc. Methods and apparatus for operating an electronic device manufacturing system
US20090216061A1 (en) * 2008-02-05 2009-08-27 Applied Materials, Inc. Systems and methods for treating flammable effluent gases from manufacturing processes
US8100620B2 (en) * 2008-06-27 2012-01-24 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20090324367A1 (en) * 2008-06-27 2009-12-31 Masakazu Isozaki Vacuum processing apparatus
US20120289057A1 (en) * 2011-05-11 2012-11-15 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
CN106716595A (en) * 2014-08-19 2017-05-24 英特尔公司 Consumable interface plate for tool install
US20170152970A1 (en) * 2014-08-19 2017-06-01 Intel Corporation Consumable interface plate for tool install

Also Published As

Publication number Publication date
US20030173490A1 (en) 2003-09-18

Similar Documents

Publication Publication Date Title
US7032614B2 (en) Facilities connection box for pre-facilitation of wafer fabrication equipment
US7063301B2 (en) Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US7073994B2 (en) Cargo deck for an aircraft
US5950693A (en) Bulk chemical delivery system
US6277199B1 (en) Chamber design for modular manufacturing and flexible onsite servicing
US6637475B2 (en) Bulk chemical delivery system
KR101852773B1 (en) Auto wagon device, and method for controlling of the device and method for donstruction by the device
US7017313B1 (en) Ceiling leak capture and drainage system
US20020162938A1 (en) Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US20060171779A1 (en) Under-dispenser containment system
EP1109204B1 (en) Compact equipment
JP4986363B2 (en) Semiconductor substrate processing tool and production equipment integration plate
US20070082588A1 (en) Methods and apparatus for coupling semiconductor device manufacturing equipment to the facilities of a manufacturing location
JP4364425B2 (en) Bulk chemical supply system
JP2003521359A5 (en)
US20020069610A1 (en) Installation docking pedestal for pre-facilitation of wafer fabrication equipment
US20080276996A1 (en) Platform for Industrial Installations, Particulary for High-Pressure Descalers, Emergency Shears and Pendulum Shears in Rolling Mill Trains or the Like
JPH11131676A (en) Protective equipment against water leakage from ceiling
KR200457943Y1 (en) Utility supplying apparatus
JP3313385B2 (en) Clean room
EP1114790B1 (en) Bulk chemical delivery system
JPH1170484A (en) Operating platform for clean room
WO2003075325A1 (en) Pedestal for mounting semiconductor fabrication equipments
US20030166390A1 (en) Pedestal
JPS63125899A (en) Underground oil storage tank

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LAPPEN, ALAN RICK;SCHAUER, RONALD VERN;REEL/FRAME:013573/0293;SIGNING DATES FROM 20030308 TO 20030311

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20100425