DE60131698T2 - Thermische Behandlungsvorrichtung und Verfahren - Google Patents

Thermische Behandlungsvorrichtung und Verfahren Download PDF

Info

Publication number
DE60131698T2
DE60131698T2 DE60131698T DE60131698T DE60131698T2 DE 60131698 T2 DE60131698 T2 DE 60131698T2 DE 60131698 T DE60131698 T DE 60131698T DE 60131698 T DE60131698 T DE 60131698T DE 60131698 T2 DE60131698 T2 DE 60131698T2
Authority
DE
Germany
Prior art keywords
gas
heat treatment
temperature
heating
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60131698T
Other languages
English (en)
Other versions
DE60131698D1 (de
Inventor
Takanobu Tsukui-gun Asano
Katsutoshi Tsukui-gun Ishii
Hiroyuki Tsukui-gun Yamamoto
George Tsukui-gun Hoshi
Kazutoshi Tsukui-gun Miura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000162769A external-priority patent/JP3625741B2/ja
Priority claimed from JP2000163002A external-priority patent/JP3516635B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of DE60131698D1 publication Critical patent/DE60131698D1/de
Publication of DE60131698T2 publication Critical patent/DE60131698T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/005Oxydation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Description

  • HINTERGRUND DER ERFINDUNG
  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft allgemein ein Wärmebehandlungssystem und ein Verfahren zum Wärmebehandeln eines zu behandelnden Objekts.
  • BESCHREIBUNG DER VERWANDTEN TECHNIK
  • Zunächst wird nachstehend die dem in der vorliegenden Erfindung beschriebenen Wärmebehandlungssystem verwandte Technik beschrieben.
  • Als Verfahren zum Tragen einer großen Zahl von Halbleiterwafern (nachstehend: „Wafer") in einem Ofen vom Chargentyp zum Oxidieren eines Siliziumfilms auf den einzelnen Wafern zum Ausbilden eines Siliziumoxidfilms (SiO2-Film) sind ein Trockenoxidationsverfahren, das Sauerstoffgas (O2-Gas) und Wasserstoffchloridgas (HCl-Gas) benutzt, und ein Naßoxidationsverfahren zum Einleiten von Wasserdampf und Sauerstoffgas in eine Reaktionsröhre bekannt. Der Typ des Oxidationsverfahrens wird gemäß der Sollqualität eines auszubildenden Films ausgewählt.
  • Bei dem Trockenoxidationsverfahren wird ein Siliziumfilm mit Sauerstoffgas oxidiert, und Verunreinigungen auf der Oberfläche werden durch die Restgasbindungswirkung von Chlor entfernt. Im Speziellen werden zum Beispiel eine große Zahl von Wafern auf den Fächern einer Waferhalterung gehalten, die in einem vertikalen Wärmebehandlungsofen getragen wird, in welchem eine Behandlungsatmosphäre mit vorbestimmter Temperatur geschaffen wird, und dann werden Sauerstoffgas und Chlorgas von dem Deckenabschnitt des Wärmebehandlungsofens in eine Reaktionsröhre zugeführt und von der Unterseite abgesaugt. Bei dem Naßoxidationsverfahren muß außerhalb eines Wärmebehandlungsofens ein externes Verbrennungssystem bereitgestellt werden. In dem externen Verbrennungssystem wird ein Teil des Sauerstoffgases mit Wasserstoffgas zu Wasserdampf (H2O) verbrannt, und der Rest des Sauerstoffgases und der Wasserdampf werden in eine Reaktionsröhre zugeführt. In dem oben beschriebenen Wärmebehandlungsofen wird Distickstoffgas (N2O-Gas) bei normalen Temperaturen in ein Reaktionsgefäß geleitet, wo es mit einer Siliziumschicht auf dem Wafer reagieren kann, wobei ein stickstoffhaltiger Siliziumoxidfilm entsteht.
  • Übrigens: Je höher die Prozeßtemperatur ansteigt, umso leichter entstehen in dem Wafer Defekte, die „Vergleitungen" (engl. „Slip") genannt werden. Daher wurde untersucht, ob die Prozeßtemperatur abgesenkt werden kann, um die Beeinflussung von Filmen, die auf einer Unterschicht gestapelt sind, durch Wärme zu vermeiden und um Energie zu sparen. Allerdings verschlechtert sich die Gleichmäßigkeit der Dicke auf der Oberfläche des Wafers, wenn die Prozeßtemperatur abgesenkt wird, in Kombination mit der Zunahme des Waferdurchmessers, und die Schwankung der Dicke zwischen den Wafern (zwischen den Ebenen) steigt an.
  • Bei der Untersuchung der Beziehung zwischen der Dicke eines durch das Trockenoxidationsverfahren hergestellten Siliziumoxidfilms und der Position eines Wafers auf der Waferhalterung zeigte sich, daß die Gleichmäßigkeit der Dicke des Filmes sich verschlechterte, wenn der Wafer auf der Seite der oberen Etage der Waferhalterung positioniert wurde. Der Erfinder vermutet hierfür folgende Ursache. 6a, 6b und 6c zeigen schematisch die Gasströmung über einen Wafer W und die Temperatur und Dicke des Wafers W. Sauerstoffgas und Chlorgas strömen vom Umfangsrand des Wafers W zu dessen Mitte, und Silizium auf dem Wafer wird mit Sauerstoffgas oxidiert. Da der Wafer W Wärme über seinen Umfangsrand abgibt, ist die Temperatur des Wafers W in der Mitte höher als am Rand. Somit wird die Oxidationsreaktion in der Mitte beschleunigt, was dazu führt, daß der Film in der Mitte ursprünglich tendenziell dicker als am Umfangsrand ist, selbst wenn die Dicke sehr gleichmäßig ist.
  • Andererseits reagiert der bei der Zerlegung von Wasserstoffchlorid entstehende Wasserstoff mit Sauerstoff zu einer sehr geringen Menge Wasserdampf. Da die Gase auf der Seite der oberen Etage der Waferhalterung nicht zur Genüge erwärmt werden, nimmt die Menge des entstehenden Wasserdampfs mit zunehmender Erwärmung der Gase vom Umfangsrand des Wafers W auf dessen Mitte hin zu. Dieser Wasserdampf dient dazu, die Dicke des Oxidfilms zu vergrößern, so daß der Unterscheid bei der erzeugten Wasserdampfmenge sich deutlich auf die Dicke des Films auswirkt. Infolgedessen ist die Dickenverteilung eine sogenannte Crest-Verteilung (Kreuz-Verteilung), bei welcher die Dicke des Films in der Mitte des Wafers W groß ist, d. h., die Gleichmäßigkeit der Dicke verschlechtert sich. Bei der Ausbreitung in Richtung der Unterseite der Reaktionsröhre werden die Gase dann erwärmt, weswegen sich auf der Seite der unteren Etage der Waferhalterung die Wasserdampf produzierende Reaktion im wesentlichen in einem Gleichgewichtszustand befindet, so daß der Wasserdampf vollständig erzeugt wird, bevor die Gase über die Waferoberfläche strömen. Infolgedessen schwankt die Menge an Wasserdampf unabhängig von der Position des Wafers W kaum, wenn die Prozeßgase von der Umfangskante des Wafers W in Richtung dessen Zentrums strömen, so daß eine bessere Gleichmäßigkeit der Filmdicke erzielt wird. Es wird also festgestellt, daß die Gleichmäßigkeit der Filmdicke auf der Seite der oberen Etage der Waferhalterung niedrig ist, so daß sich der Filmdickenunterschied zwischen Wafer auf der Seite der unteren und der Seite der oberen Etage vergrößert.
  • Auch bei dem Prozeß zum Herstellen eines stickstoffhaltigen Siliziumoxidfilms mit Hilfe von Distickstoffoxidgas läßt sich beim Absenken der Prozeßtemperatur dieselbe Tendenz feststellen. D. h., Distickstoffoxidgas wird zerlegt, so daß Sauerstoff mit Silizium reagieren und einen stickstoffhaltigen Siliziumoxidfilm erzeugen kann, und die bei der Zerlegung des Distickstoffoxidgases erzeugte aktive Stickstoffspezies tritt in den Siliziumfilm ein, um den stickstoffhaltigen Siliziumoxidfilm zu vergrößern. Die Wafertemperatur ist in der Mitte des Wafers W höher, und das Distickstoffoxidgas wird bei niedriger Prozeßtemperatur auf der Seite der oberen Etage der Waferhalterung nicht zur Genüge zerlegt, wenn die Prozeßtemperatur niedrig ist. Daher wird, wenn das Distickstoffgas auf die Mitte des Wafers W zuströmt, die Zerlegungsreaktion in der Mitte des Wafers W stärker beschleunigt als an dessen Umfangsrand, so daß die Dicke des Films in der Mitte des Wafers W tendenziell größer als an dessen Umfangsrand ist. Da das Distickstoffoxidgas im Laufe seines Ausbreitungsweges in Richtung der Unterseite der Reaktionsröhre erwärmt wird, schreitet dessen Zerlegung auf der Seite der unteren Etage der Waferhalterung entweder zur Genüge fort oder schreitet dort zumindest, selbst wenn sie nicht zur Genüge erfolgt, so doch weiter voran. Somit unterscheidet sich der Zerlegungsgrad zwischen Mitte und Umfangskante des Wafers hier nur unwesentlich. Infolgedessen ist die Gleichmäßigkeit der Filmdicke innerhalb der Waferebene höher als auf der Seite der oberen Etage.
  • Somit besteht unter den vorliegenden Umständen auf der Seite der oberen Etage innerhalb der Waferebene und über verschiedene Wafer eine geringe Gleichmäßigkeit der Dicke des Filmes auf dem Wafer, so daß es schwierig ist, die Prozeßtemperatur abzusenken.
  • Unter solchen Umständen wurde ein Wärmebehandlungssystem geschaffen, das in der vorliegenden Anmeldung beschrieben wird, und dem Wärmebehandlungssystem liegt als Aufgabe zugrunde, eine Technik zu schaffen, mit der sich eine hohe Gleichmäßigkeit der Dicke eines Oxidfilmes erhalten läßt und die zur Absenkung einer Prozeßtemperatur beiträgt, wenn an einem zu behandelnden Objekt ein Oxidationsprozeß ausgeführt wird.
  • Nachstehend wird die verwandte Technik der von der vorliegenden Anmeldung bereitgestellten Erfindung beschrieben.
  • Einer der Abscheidungsprozesse, die bei der Fertigung von Halbleitereinrichtungen zum Einsatz kommen, ist die chemische Gasphasenabscheidung (CVD, engl. „Chemical Vapour Deposition"). Bei dieser Technik ist vorgesehen, daß ein Prozeßgas in eine Reaktionsröhre eingeleitet wird, wo durch eine chemische Gasphasenreaktion auf der Oberfläche eines Halbleiterwafers (nachstehend: „Wafer") ein Dünnfilm abgeschieden wird. Eines der Systeme, mit denen ein solcher Abscheidungsprozeß chargenweise ausgeführt werden kann, ist ein vertikales Wärmebehandlungssystem. Wie beispielsweise in 11 gezeigt ist, umfaßt dieses System eine vertikale Reaktionsröhre 112, die auf einem zylinderförmigen Verteilerstück 111 bereitgestellt ist, einen Heizer 113, welcher dergestalt bereitgestellt ist, daß er die Reaktionsröhre 112 umgibt, ein Gaszufuhrrohr 114, das bis in das Verteilerstück 111 hinein verläuft, und ein Ausströmrohr 115, das mit dem Verteilerstück verbunden ist.
  • Bei einem solchen System wird eine große Zahl von Wafer W auf den Fächern einer Waferhalterung 116 gehalten, die von einer in dem unteren Ende des Verteilerstücks 111 ausgebildeten Öffnung in der Reaktionsröhre 112 getragen wird, und über das Gaszuführungsrohr 114 wird aus einer Gaszufuhrquelle 117 ein Prozeßgas in die Reaktionsröhre 112 eingeleitet, um einen Dünnfilm abzuscheiden. Zu dieser Zeit wird das Prozeßgas von dem Heizer 113 in der Reaktionsröhre 112 durch Erwärmen zerlegt und weiter auf eine Reaktionstemperatur oder darüber hinaus erwärmt, um eine vorbestimmte Reaktion auszuführen. Der Recktand wird auf dem Wafer W abgeschieden und bildet auf diesem einen vorbestimmten Film aus.
  • Übrigens: Wenn der Film mit dem oben beschriebenen System auf dem Wafer W abgeschieden wird, ist der Film im Mittenabschnitt des Wafers tendenziell dicker als in dessen Randabschnitt, wie in 12 gezeigt. Hierfür wird folgende Ursache angenommen. Bei dem oben beschriebenen vertikalen Wärmebehandlungssystem, einem so genannten Chargenofen, wird aus dem Gaszufuhrrohr 114 ein Prozeßgas in die Reaktionsröhre 112 eingeleitet, das dem Wafer W, der auf der Waferhalterung 116 gehalten wird, von dessen Umfangsrandabschnitt aus zugeführt werden soll und von dem Umfangsrandabschnitt des Wafers zum Mittenabschnitt des Wafers strömt, so daß die Konzentration des Prozeßgases im Mittenabschnitt des Wafers höher als in dessen Randabschnitt ist.
  • Bei einem Prozeß zum Anheben der Temperatur des Wafers auf eine Prozeßtemperatur wird im Umfangsrandabschnitt des Wafers W mehr Wärme an die Umgebung abgegeben als in dessen Mittenabschnitt, so daß die Temperatur des Mittenabschnitts des Wafers höher als die Temperatur dessen Randabschnitts ist. Es wird daher vermutet, daß im Mittenabschnitt des Wafers W, wo Temperatur und Konzentration des Prozeßgases höher als in dessen Randabschnitt sind, aufgrund der Temperatur- und Konzentrationsunterschiede des Prozeßgases zwischen Rand- und Mittenabschnitt des Wafers W die Abscheidungsreaktion weiter beschleunigt wird, so daß auf dem Mittenabschnitt des Wafers W ein dickerer Film ausgebildet wird als auf dessen Randabschnitt.
  • Andererseits ist bei einem Halbleiterfertigungsprozeß ein Prozeß mit niedriger Temperatur wünschenswert, um negative Auswirkungen auf einen im letzten Schritt produzierten Film zu vermeiden und um Energie zu sparen. Jedoch wird das oben beschriebene Phänomen der Dickenzunahme des Films im Mittenabschnitt des Wafers tendenziell um so auffälliger, je weiter die Prozeßtemperatur abgesenkt wird, so daß es bei dem bestehenden System schwierig ist, einen Niedrigtemperaturprozeß zu realisieren.
  • Der Erfinder hat daher eine Technik zum Absenken einer Prozeßtemperatur in der Reaktionsröhre 112 durch Vorwärmen eines Prozeßgases auf eine vorbestimmte Temperatur mittels eines außerhalb der Reaktionsröhre 112 bereitgestellten Heizers (nicht gezeigt) vor dem Einleiten des Prozeßgases in die Reaktionsröhre 112 und anschließendes Einleiten des aktivierten und zur Genüge erwärmten Prozeßgases in die Reaktionsröhre 112 untersucht. Der Heizer umfaßt beispielsweise eine Heizkammer zum Erwärmen eines eingeleiteten Prozeßgases und einen außerhalb der Heizkammer bereitgestellten Heizer zum Erwärmen der Heizkammer. Bei dieser Technik wird das Prozeßgas von dem Heizer auf einer Temperatur, die nahe bei z. B. einer Zerlegungstemperatur liegt, vorgewärmt, weswegen das in eine Abscheidungsregion eingeleitete Prozeßgas zur Genüge aktiviert ist und, wenn des Prozeßgas die Umfangskante des Wafers erreicht, eine Reaktion zur Genüge stattfindet. Der Reaktionszustand im Mittenabschnitt des Wafers ist somit der gleiche wie in dessen Randabschnitt, so daß selbst dann eine hohe Gleichmäßigkeit der Filmdicke bereitgestellt werden kann, wenn die Prozeßtemperatur in der Reaktionsröhre 112 niedrig ist.
  • Allerdings liegt bei einem CVD-Prozeß mit niedrigem Druck zum Absenken des Drucks in der Reaktionsröhre 112 zum Durchführen eines Prozesses auch im Heizer verminderter Druck vor. Falls der Druck im Heizer auf beispielsweise ca. 200 Torr reduziert wird, läßt sich nur schwierig Konvektion erzielen. Außerdem sinkt bei niedrigem Druck im Heizer der Partialdruck des Prozeßgases, so daß sich im Heizer nur schwierig ein Wärmeübergang durch Konvektion des Prozeßgases erzielen läßt. Somit wird keine Wärme ins Innere des Heizers übertragen, und die Effizienz des Wärmeübergangs auf das Prozeßgas ist schlecht, so daß sich das Prozeßgas nur schwierig auf eine Temperatur erwärmen läßt, bei welcher es zur Genüge aktiviert wird.
  • Unter solchen Umständen wurde die von der vorliegenden Anmeldung bereitgestellte Erfindung gemacht, und der Erfindung liegt als Aufgabe zugrunde, ein Wärmebehandlungssystem und ein Verfahren zu schaffen, mit denen sich eine hohe Gleichmäßigkeit der Dicke eines ausgebildeten Filmes erhalten läßt und die zur Absenkung einer Prozeßtemperatur beitragen, indem ein von einem Heizteil vorgewärmtes Prozeßgas in ein Reaktionsgefäß zugeführt wird, zum Beispiel, wenn ein Dünnfilm auf einem zu behandelnden Objekt abgeschieden wird.
  • Ein Wärmebehandlungssystem gemäß dem Oberbegriff von Anspruch 1 ist aus der JP 05 085890 bekannt.
  • Aus der US 5,777,300 ist ein Naßoxidationssystem bekannt. Das System umfaßt einen Verarbeitungsofen zum Oxidieren eines zu verarbeitenden Objektes bei hohen Temperaturen von zum Beispiel 850°C und bei einem Druck von zum Beispiel 400 Torr. Das System umfaßt Druckreduziermittel zum Evakuieren des Inneren eines Verarbeitungsofens, ein außerhalb des Verarbeitungsofens angeordnetes Verbrennungsgefäß zum Verbrennen von Wasserstoffgas und Sauerstoffgas, um Wasserdampf zu erzeugen, und ein Wasserdampfzuführungsrohr, welches das Verbrennungsgefäß und den Verarbeitungsofen verbindet. Das Wasserzuführungsrohr ist mit einer Verengung versehen. Außerdem ist stromabwärts nach der Verengung rings um das Wasserdampfzuführungsrohr ein Wasserdampfheizer zum Erwärmen des Wasserdampfes, der gerade die Verengung passiert hat, angeordnet, um zu vermeiden, daß der Was serdampf aufgrund einer beim Passieren der Verengung auftretenden Druckänderung kondensiert.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Gemäß der vorliegenden Erfindung wird ein Wärmebehandlungssystem gemäß Anspruch 1 geschaffen. Bevorzugte Ausführungsformen werden in den abhängigen Ansprüchen definiert.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung wird ein Wärmebehandlungssystem geschaffen, bei dem ein zu behandelndes Objekt in einem Reaktionsgefäß getragen wird, dessen Inneres auf eine vorbestimmte Prozeßtemperatur erwärmt wird, und ein Prozeßgas über eine Gaszufuhrleitung in das Reaktionsgefäß zugeführt wird, um das Objekt zu bearbeiten, wobei das Wärmebehandlungssystem folgendes umfaßt: ein Heizteil, welches in der Gaszufuhrleitung vorgesehen ist, um das Prozeßgas auf eine vorbestimmte Temperatur zu erwärmen, bevor das Prozeßgas in das Reaktionsgefäß zugeführt wird, wobei die zwischen dem Heizteil und dem Reaktionsgefäß angeordnete Gaszufuhrleitung ein Doppelrohr umfaßt, welches ein inneres Rohr und ein äußeres Rohr umfaßt, welches außerhalb des inneren Rohrs so vorgesehen ist, daß es von dem inneren Rohr beabstandet ist, und wobei das Prozeßgas über die Gaszufuhrleitung in das Heizteil zugeführt wird, um auf eine vorbestimmte Temperatur vorgewärmt zu werden und über die Gaszufuhrleitung in das Reaktionsgefäß zugeführt zu werden.
  • Bei einem solchen Wärmebehandlungssystem umfaßt das zwischen dem Heizteil und dem Reaktionsgefäß angeordnete Gaszufuhrrohr ein Doppelrohr, und das vorgewärmte Prozeßgas wird über das innere Rohr des Doppelrohrs in das Reaktionsgefäß zugeführt. Daher ist es möglich, die Abgabe von Wärme aus dem das Doppelrohr durchlaufenden Prozeßgas an die Umgebung zu unterdrücken und das Prozeßgas unter Beibehaltung einer hohen Temperatur in das Reaktionsgefäß einzuleiten.
  • Das äußere Rohr des Doppelrohrs der Gaszufuhrleitung kann gebogen sein, um einen Flansch auszubilden, der über ein Abdichtelement mit dem Reaktionsgefäß verbunden ist. Da in diesem Falle die Temperatur im äußeren Rohr niedriger als die Temperatur im inneren Rohr ist, kann die Gaszufuhrleitung an das Reaktionsgefäß angeschlossen werden, ohne daß dabei das Abdichtelement, aus z. B. einem Gummi, durch Wärme in Mitleidenschaft gezogen wird.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aus der nachstehend gegebenen detaillierten Beschreibung und den beigefügten Zeichnungen der bevorzugten Ausführungsformen der Erfindung läßt sich die vorliegende Erfindung ausführlicher verstehen. Die Zeichnungen sollen jedoch keine Einschränkung der Erfindung auf eine spezielle Ausführungsform implizieren, sondern dienen lediglich der Erklärung und dem Verständnis.
  • 1 ist eine Längsschnittansicht, die ein Beispiel für ein Wärmebehandlungssystem zeigt,
  • 2 ist eine perspektivische Ansicht, die eine vertikale Wärmebehandlungseinheit zur Verwendung in dem Wärmebehandlungssystem aus 1 zeigt,
  • 3 ist eine Schnittansicht, die ein Verbrennungssystem zur Verwendung in dem Wärmebehandlungssystem aus 1 zeigt,
  • 4a bis 4c veranschaulichen einen Gaszufuhrzustand in einem Verbrennungssystem für jeden der Prozesse,
  • 5 veranschaulicht eine Dickenverteilung an verschiedenen Positionen in einer Waferhalterung,
  • 6a bis 6c sind Darstellungen zur Erläuterung von Problemen bei einem herkömmlichen Oxidationsverfahren,
  • 7 ist eine Längsschnittansicht, die ein Beispiel für eine bevorzugte Ausführungsform eines vertikalen Wärmebehandlungssystems gemäß der vorliegenden Erfindung zeigt,
  • 8 ist eine perspektivische Ansicht, die einen Teil des vertikalen Wärmebehandlungssystems aus 7 zeigt,
  • 9 ist eine Schnittansicht, die einen Heizer und eine Verengung zur Verwendung in dem vertikalen Wärmebehandlungssystem aus 7 zeigt,
  • 10a und 10b zeigen eine perspektivische Ansicht und eine Schnittansicht einer Gaskammer zur Verwendung in einem weiteren Beispiel für ein vertikales Wärmebehandlungssystem,
  • 11 ist eine Schnittansicht, die ein herkömmliches vertikales Wärmebehandlungssystem zeigt, und
  • 12 ist ein Kennliniendiagramm, das die Beziehung zwischen der Dicke und der Position auf einem Wafer zeigt.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Unter Bezugnahme auf die beigefügten Zeichnungen, insbesondere auf 1 bis 5, wird nachstehend ein Beispiel für ein Wärmebehandlungssystem beschrieben, bei dem es sich nicht um eine Ausführungsform der von der vorliegenden Anmeldung bereitgestellten Erfindung handelt.
  • 1 zeigt ein Beispiel für ein Wärmebehandlungssystem. Dieses Wärmebehandlungssystem dient als System zum Ausführen eines sogenannten Naßoxidationsprozesses zum Verbrennen von Wasserstoffgas und Sauerstoffgas zu Wasserdampf, um mit dem entstehenden Wasserdampf einen Oxidationsvorgang an einem Wafer auszuführen, als System zum Ausführen eines sogenannten Trockenoxidationsprozesses, der Sauerstoffgas und Wasserstoffchloridgas benutzt, und als System zum Ausführen eines Prozesses, der Distickstoffoxidgas benutzt. Das Wärmebehandlungssystem umfaßt eine vertikale Wärmebehandlungseinheit 1 und ein Verbrennungssystem 2.
  • Es wird nun die Struktur der vertikalen Wärmebehandlungseinheit 1 beschrieben. Wie in 1 und 2 gezeigt ist, umfaßt diese Einheit 1 einen vertikalen Wärmebehandlungsofen 3, eine Waferhalterung 4, einen Lift 40 zum vertikalen Bewegen der Waferhalterung 4 und ein Gaszufuhrrohr 5 und ein Ausströmrohr 30, die mit dem Wärmebehandlungsofen 3 verbunden sind.
  • Der vertikale Wärmebehandlungsofen 3 umfaßt eine vertikale Reaktionsröhre 31, bei welcher es sich um ein Reaktionsgefäß aus z. B. Quarz handelt, einen Heizer 32, bei welchem es sich um ein Heizmittel eines Widerstandsheizelements oder dergleichen handelt, der dergestalt vorgesehen ist, daß er die Reaktionsröhre 31 umgibt, und ein Durchwärmungsgefäß 33, das auf einem Wärmeisolationselement 34 zwischen der Reaktionsröhre 31 und dem Heizer 32 gestützt ist. Das untere Ende der Reaktionsröhre 31 ist offen, und die Reaktionsröhre 31 ist mit einer Gasdiffusionsplatte 31c versehen, die eine große Zahl von Gaslöchern 31b aufweist und ein wenig unterhalb der Oberseite 31a der Reaktionsröhre 31 angeordnet ist. Das Gaszufuhrrohr 5 läuft von außen kommend durch das Wärmeisolationselement 34, weist innerhalb des Wärmeisolationselements 34 eine L-förmige Biegung auf und verläuft vertikal zwischen der Reaktionsröhre 31 und dem Durchwärmungsgefäß 33 bis in einen Raum zwischen der Oberseite 31a der Reaktionsröhre 31 und der Gasdiffusionsplatte 31c hinein.
  • Die Waferhalterung 4 ist zum Beispiel, wie in 2 gezeigt, mit mehreren zwischen einer oberen Platte 41 und einer Grundplatte 42 verlaufenden Streben 43 versehen, die in gleichmäßigen Abständen in vertikaler Richtung Vertiefungen aufweisen, welche den Umfangsrand der einzelnen Wafer W aufnehmen und halten. Die Waferhalterung 4 ist auf einem Deckel 44 befestigt, der über ein wärmerückhaltendes Teil 31, z. B. eine wärmerückhaltende Verschlußkappe 45, eine im unteren Ende der Reaktionsröhre 31 ausgebildete Öffnung 35 öffnet und verschließt. Die wärmerückhaltende Verschlußkappe 45 ist auf einer Drehscheibe 46 befestigt, damit sie mittels eines auf dem Lift 40 vorgesehenen Antriebsteils M über eine Welle 47 gedreht werden kann. Der Deckel 44 ist auf dem Lift 40 vorgesehen, damit die Waferhalterung 4 durch die vertikale Bewegung des Liftes 40 in den Wärmebehandlungsofen 3 herein und aus diesem hinaus getragen werden kann.
  • Wie in 1 gezeigt ist, ist auf dem Weg des Gaszufuhrrohres 5 außerhalb der vertikalen Wärmebehandlungseinheit 1 das Verbrennungssystem 2 vorgesehen. Wie in 3 gezeigt ist, weist das Verbrennungssystem 2 ein Teil mit konzentrischer doppelter Struktur 6 auf, das eine innere Röhre 21 und eine äußere Röhre 22 aus z. B. transparentem Quarz umfaßt. Eine aus einem inneren Bereich der inneren Röhre 21 gebildete Heizkammer wird nachstehend als innere Heizkammer 61 bezeichnet, und eine von einem inneren Bereich der äußeren Röhre 22 gebildete Heizkammer wird nachstehend als äußere Heizkammer 62 bezeichnet. Die Verbindung zu der inneren Heizkammer 61 wird über ein erstes Gaszufuhrrohr 71 hergestellt; die innere Heizkammer 61 verläuft geradlinig. Die Verbindung zu der äußeren Heizkammer 62 wird über ein zweites Gaszufuhrrohr 72 hergestellt, dessen stromaufwärts angeordnete Seite sich verjüngt; die äußere Heizkammer verläuft senkrecht zu dem verjüngten Abschnitt. Ferner entsprechen eine Gaszufuhrleitung, die von dem ersten Gaszufuhrrohr 71 zur inneren Heiz kammer 61 verläuft, und eine Gaszufuhrleitung, die von dem zweiten Gaszufuhrrohr 72 zu der äußeren Heizkammer 62 verläuft, der ersten und zweiten Gasleitung, die in den Ansprüchen erwähnt werden.
  • Auf dem äußeren Umfangsabschnitt der äußeren Heizkammer 62 ist ein Heizmittel, beispielsweise ein Kohlenstoffdrahtheizer 23, spiralförmig bereitgestellt. Der Heizer 23 ist mit einem zylinderförmigen Wärmeisolationselement 24 versehen, das diesen bedeckt. Der Kohlenstoffdrahtheizer 23 wird zum Beispiel durch spiralförmiges Einfüllen eines fasernstrangartigen, durch Zusammenfügen mehrerer Kohlenstoffaserbündel gebildeten Elementes in eine Quarzröhre gebildet. Der Kohlenstoffdrahtheizer 23 erzeugt Wärme, wenn er von einer Stromversorgungsleitung 25 unter Strom gesetzt wird. Die Stromversorgungsleitung 25 ist an ein Leistungsregelungsteil 26 angeschlossen. Zum Beispiel regelt das Leistungsregelungsteil 26 die an den Kohlenstoffdrahtheizer 23 geleitete Strommenge auf Basis eines Signals, das einer von einem Hauptsteuerungsteil 27 zum Steuern des Wärmebehandlungssystems festgesetzten Erwärmungstemperatur entspricht, und auf Basis eines Temperaturdetektionssignals von einem Temperatursensor 28, der in der Umgebung des Heizers 23 bereitgestellt ist und zum Beispiel ein Thermoelement umfaßt.
  • Die äußere Heizkammer 62 ist mit einem Ventilationswiderstandsmaterial 60 gefüllt, das zum Beispiel aus einer großen Zahl transparenter Quarzglasperlen besteht. Durch Bereitstellen des Ventilationswiderstandsmaterials 60 wird die Gasverweildauer vergrößert, und das Ventilationswiderstandsmaterial 60 wird erwärmt, so daß das Gas effizient erwärmt wird, während es mit dem erwärmten Ventilationswiderstandsmaterial 60 in Kontakt steht. Außerdem soll das Ventilationswiderstandsmaterial 60 nicht hierauf beschränkt sein, sondern es können intermittierend in Durchgangsrichtung mehrere Ventilationsplatten mit einer großen Anzahl von Lüftungslöchern angeordnet werden, um den Leitungsdurchgang zu blockieren.
  • Die innere Heizkammer 61 und die äußere Heizkammer 62 stehen mit einer stromabwärts nachgeordneten Verbrennungskammer 63 in Verbindung. Wenn Naßoxidation durchgeführt wird, wobei Wasserstoffgas und Sauerstoffgas als Prozeßgase benutzt werden, bewirken das Wasserstoffgas und das Sauerstoffgas in der Verbrennungskammer 63 eine Verbrennungsreaktion, bei welcher Wasserdampf entsteht.
  • Es wird nun die stromabwärts liegende Seite des Verbrennungssystems 2 beschrieben. Wie in 1 gezeigt ist, ist das erste Gaszufuhrrohr 71 mit einer Wasserstoffgasquelle 81 verbunden, und dazwischen sind ein Ventil V1 und ein Massendurchflußregler MF1 bereitgestellt, die als strömungsregelndes Teil dienen. Das zweite Gaszufuhrrohr 72 verzweigt sich in beispielsweise drei Richtungen, die an eine Sauerstoffquelle 82a, eine Distickstoffoxidgasquelle 82b und eine Wasserstoffchloridgasquelle 82c angeschlossen werden, und dazwischen sind Ventile Va, Vb bzw. Vc sowie Massendurchflußregler MFa, MFb bzw. MFc bereitgestellt.
  • Nachstehend wird die Betriebsweise des oben beschriebenen Beispiels beschrieben. In diesem Beispiel können an einem Wafer, bei dem es sich um ein zu behandelndes Objekt handelt und auf dessen Oberflächenabschnitt eine Siliziumschicht ausgebildet worden ist, drei Prozesse ausgeführt werden: ein Naßoxidationsprozeß, ein Trockenoxidationsprozeß und ein Prozeß, der Distickstoffoxidgas benutzt. Zunächst werden der Trockenoxidationsprozeß, der darauf abzielt, die Gleichmäßigkeit der Dicke zu verbessern, und der Prozeß, der Distickstoffoxidgas benutzt, beschrieben.
  • Trockenoxidationsprozeß
  • Eine große Zahl von Wafer W, z. B. 60 Wafer, die als zu behandelnde Objekte dienen, werden auf den Fächern der Waferhalterung 4 gehalten, die in der Reaktionsröhre 31 getragen wird, welche mit Hilfe des Heizers 32 auf eine vorbestimmte Temperatur vorgeheizt worden ist, und die als Ofenöffnung dienende Öffnung 35 wird von dem Deckel 44 luftdicht verschlossen (der in 1 gezeigte Zustand). Anschließend wird die Temperatur in der Reaktionsröhre 31 auf eine vorbestimmte Prozeßtemperatur, z. B. 900°C, angehoben. Bei dem Schritt des Tragens des Wafers W in der Reaktionsröhre 31 und bei dem Schritt des Anhebens der Temperatur in der Reaktionsröhre 31 wird Stickstoffgas, das z. B. eine sehr geringe Menge an Sauerstoffgas enthält, aus einem Gaszufuhrrohr (nicht gezeigt) in die Reaktionsröhre 31 zugeführt, und die Gaszufuhr wird gestoppt, wenn die Temperatur in der Reaktionsröhre 31 eine Prozeßtemperatur erreicht. Dann wird das Gas in der Reaktionsröhre 31 von einem Absaugmittel (nicht gezeigt) über das Ausströmrohr 30 abgesaugt, so daß in der Reaktionsröhre 31 ein Zustand mit geringfügig reduziertem Druck vorliegt. In diesem Zustand wird die Temperatur der Wafer W stabilisiert, und anschließend wird ein Oxidationsprozeß ausgeführt.
  • Andererseits wird in dem Verbrennungssystem 2 in Übereinstimmung mit der Auswahl eines von dem Hauptsteuerungsteil 27 gewählten Trockenoxidationsprozesses ein entsprechendes Temperatureinstellungssignal an das Leistungsregelungsteil 26 geleitet, und der Kohlenstoffdrahtheizer 23 wird dergestalt geregelt, daß die Temperatur in den Heizkammern 61 und 62 eine eingestellte Temperatur von z. B. 1000°C ist. Dann werden die Ventile Va und Vc geöffnet, um Sauerstoffgas und Wasserstoffchloridgas in das Verbrennungssystem 2 einströmen zu lassen, wobei die Massendurchflußregler MFa und MFb die Durchflußraten des Sauerstoffgases und des Wasserstoffchloridgases auf vorbestimmte Durchflußraten, z. B. 10 slm und 0,3 slm, regeln. Diese Gase werden durch das Ventilationswiderstandsmaterial 60 geleitet und strömen in das Verbrennungssystem 2; dabei stehen sie mit dem Ventilationswiderstandsmaterial 60 in der äußeren Heizkammer 62 in Kontakt und werden beim Durchströmen des Ventilationswiderstandsmaterials auf ca. 1000°C erwärmt. Somit regiert, wie in 4a gezeigt ist, das Sauerstoffgas gemäß nachstehender Formel mit dem Wasserstoffchloridgas, wobei eine sehr geringe Menge, z. B. einige hundert ppm, an Wasserdampf entsteht. Die innere Heizkammer 61 wird bei diesem Prozeß nicht benutzt. 2HCl → H2 + Cl2 H2 + 1/2O2 → H2O
  • Das solchermaßen erwärmte Prozeßgas tritt über das Gaszufuhrrohr 5 in den Wärmebehandlungsofen 3 ein, strömt aufwärts in den oberen Abschnitt der Reaktionsröhre 31 und durchlauft dabei das Durchwärmungsrohr 33, wobei es erwärmt wird. Weiter wird dieses Prozeßgas von den Gaslöchern 31b in eine Prozeßregion in der Reaktionsröhre 31 zugeführt, von wo es über das Ausströmrohr 30 an der Unterseite abgepumpt wird. Zu dieser Zeit tritt das Prozeßgas in Zwischenräume zwischen den in Form von Fächern gestapelten Wafern W ein, und die Siliziumschicht auf den Oberflächenabschnitten der einzelnen Wafer W wird oxidiert, während die Restgasbindung der Oberflächen der einzelnen Wafer mit Chlorgas durchgeführt wird, so daß darauf ein Siliziumoxidfilm hergestellt wird. Wie oben beschrieben wurde, enthält das Prozeßgas eine sehr kleine Menge an Wasserdampf, welche die Dicke des Oxidfilms vergrößert.
  • Prozeß unter Verwendung von Distickstoffoxidgas
  • Eine große Zahl von Wafer W werden mittels der Waferhalterung 4 in der Reaktionsröhre 31 getragen, und die Temperatur in der Reaktionsröhre 31 wird auf eine vorbestimmte Prozeßtemperatur, z. B. 900°C, angehoben, die auf dieselbe Weise wie obenstehend beschrieben stabilisiert wird. Andererseits wird in dem Verbrennungssystem 2 in Übereinstimmung mit der Auswahl des von dem Hauptsteuerungsteil 27 gewählten Prozesses, der Distickstoffoxidgas verwendet, ein entsprechendes Temperatureinstellungssignal an das Leistungsregelungsteil 26 geleitet, und der Kohlenstoffdrahtheizer 23 wird dergestalt geregelt, daß die Temperatur in den Heizkammern 61 und 62 eine eingestellte Temperatur von z. B. 900 bis 1000°C ist. Dann wird das Ventil Vb geöffnet, um Distickstoffoxidgas in das Verbrennungssystem 2 einströmen zu lassen, wobei der Massendurchflußregler MFc die Durchflußrate des Distickstoffoxidgases auf eine vorbestimmte Durchflußrate, z. B. 1 bis 10 slm, regelt. Das Distickstoffoxidgas strömt somit durch das Ventilationswiderstandsmaterial 60 und in das Verbrennungssystem 2; dabei steht es mit dem Ventilationswiderstandsmaterial 60 in der äußeren Heizkammer 62 in Kontakt und wird beim Durchströmen des Ventilationswiderstandsmaterials auf die eingestellte Temperatur erwärmt. Somit wird das Distickstoffoxidgas zwar nicht zerlegt, jedoch derart aktiviert, daß es unmittelbar nach dem Einströmen in die Reaktionsröhre 31 zerlegt wird. Dies ist der in 4b gezeigte Zustand, wobei N2O* den aktivierten Zustand von N2O angibt. Die innere Heizkammer 61 wird auch bei diesem Prozeß nicht benutzt.
  • Das solchermaßen aktivierte Distickstoffoxid tritt in die Reaktionsröhre 31 ein, um die Siliziumschicht des Wafers W zu oxidieren und nitrieren und einen stickstoffhaltigen Siliziumoxidfilm zu erzeugen.
  • Naßoxidationsprozeß
  • Eine große Zahl von Wafern W werden mittels der Waferhalterung 4 in der Reaktionsröhre 31 getragen, und die Temperatur in der Reaktionsröhre 31 wird auf eine vorbestimmte Prozeßtemperatur, z. B. 900°C, angehoben, die auf dieselbe Weise wie obenstehend beschrieben stabilisiert wird. Andererseits wird in dem Verbrennungssystem 2 in Übereinstimmung mit der Auswahl des von dem Hauptsteuerungsteil 27 gewählten Naßoxidationsprozesses ein entsprechendes Temperatureinstellungssignal an das Leistungsregelungsteil 26 geleitet, und der Kohlenstoffdrahtheizer 23 wird dergestalt geregelt, daß die Temperatur in den Heizkammern 61 und 62 eine eingestellte Temperatur von z. B. 900 bis 950°C ist. Dann werden die Ventile V1 und Va geöffnet, um Wasserstoffgas und Sauerstoffgas in das Verbrennungssystem 2 einströmen zu lassen, wobei die Massendurchflußregler MF1 und MFa die Durchflußraten des Wasserstoffgases und des Sauerstoffgases auf vorbestimmte Durchflußraten, z. B. 3 bis 10 slm und 3 bis 10 slm, regeln. Infolgedessen wird das Wasserstoffgas in der inneren Heizkammer 61 und das Sauerstoffgas in der äußeren Heizkammer 62 erwärmt, und in der Verbrennungskammer 63 findet eine Verbrennungsreaktion zwischen einem Teil des Sauerstoffgases und dem Wasserstoffgas statt, wobei Wasserdampf entsteht. 4c zeigt diesen Zustand. Somit strömt das Prozeßgas, das Sauerstoffgas und Wasserdampf enthält, in den Wärmebehandlungsofen 3 und tritt in Zwischenräume zwischen den in Form von Fächern gestapelten Wafer W ein, so daß die Siliziumschicht auf den Oberflächenabschnitten der einzelnen Wafer W mit Sauerstoffgas und Wasserdampf oxidiert und so ein Siliziumoxidfilm hergestellt wird.
  • Gemäß einem solchen Beispiel liegt auf der Oberfläche des Wafers W eine hohe Gleichmäßigkeit des von dem Trockenoxidationsprozeß hergestellten Siliziumoxidfilms bzw. des von dem Distickstoffoxidprozeß hergestellten stickstoffhaltigen Siliziumoxidfilms vor, und die Gleichmäßigkeit der Dicke der Filme über verschiedene Wafer W hinweg ist ebenfalls hoch. Hierfür wird folgende Ursache angenommen.
  • Zunächst wird im Falle des Trockenoxidationsprozesses das Prozeßgas (eine Gasmischung aus Sauerstoffgas und Wasserstoffchloridgas) in dem Verbrennungssystem 2 auf z. B. ca. 1000°C erwärmt, um eine sehr geringe Menge an Wasserdampf zu erzeugen. Da die Menge des erzeugten Wasserdampfes auch bei Temperaturabnahme nicht abnimmt, selbst wenn sich der Wasserdampf beim Passieren des Gaszufuhrrohrs 5 auf der Sekundärseite geringfügig abkühlt, erzeugt das Prozeßgas in der Reaktionsröhre 31 keinen zusätzlichen Wasserdampf, wenn der Wasserdampf bei einer Temperatur erzeugt worden ist, die höher liegt als die Prozeßtemperatur in der Reaktionsröhre 31.
  • Daher wurde der Wasserdampf bereits vollständig erzeugt, wenn das Prozeßgas in die Zwischenräume zwischen den auf der Waferhalterung 4 gestapelten Wafer W eintritt, so daß die Menge an Wasserdampf, der in dem von dem Rand des Wafers W in Richtung dessen Mitte strömenden Prozeßgas enthalten ist, im wesentlichen an allen Positionen gleich ist. Infolgedessen ist der Grad der wasserdampfbedingten Dickenzunahmewirkung in der Ebene auf dem in der oberen Etage des Waferhalterung 4 angeordneten Wafer W im wesentlichen die gleiche, so daß die Gleichmäßigkeit der Dicke innerhalb der Waferebene zunimmt. Herkömmlich wird die Erzeugung von Wasserdampf auf dem Weg zur unteren Etage der Waferhalterung 4 fortgesetzt, und daher ist die Gleichmäßigkeit der Dicke auf der Seite der oberen Etage schlecht, während auf der Seite der unteren Etage eine höhere Gleichmäßigkeit der Dicke vorliegt. In diesem Beispiel dagegen kann auf der Seite der oberen Etage die gleiche Gasatmosphäre wie auf der Seite der unteren Etage hergestellt werden, so daß die Schwankung der Dickenverteilung zwischen verschiedenen Wafern W abnimmt, das heißt, die Gleichmäßigkeit der Dicke über die Ebenen hinweg zunimmt. 5 zeigt schematisch die Dickenverteilungen auf der oberen, mittleren und unteren Etage, wenn das Prozeßgas nicht erwärmt wird und wenn es erwärmt wird. Unter Prozeßbedingungen, wie sie in der oben beschriebenen bevorzugten Ausführungsform beschrieben wurden, wurden Experimente ausgeführt, die als Beispiele dienen. Herkömmlich (d. h., bei ausgeschaltetem Heizer 23 des Verbrennungssystems 2) betrug innerhalb der Waferebene die Gleichmäßigkeit der Dicke auf dem Wafer auf der Seite der oberen Etage ca. ±3%. Bei eingeschaltetem Heizer 23 des Verbrennungssystems 2 dagegen betrug die Gleichmäßigkeit innerhalb der Waferebene ca. ±1%.
  • Ferner trägt strenggenommen der Wasserdampf zur Zunahme der Dicke bei, so daß sich eine geringe Abnahme in Richtung der Mitte des Wafers W erwarten läßt. Wie jedoch unter „Beschreibung der verwandten Technik" erläutert wurde, ist die Temperatur in der Mitte des Wafers W höher als an dessen Umfangsrandabschnitt, so daß die Dicke in der Mitte ursprünglich tendenziell größer ist. Es kann daher gesagt werden, daß der große Grad der Dickenzunahme des Films im Randabschnitt bewirkt, daß das Ansteigen der Dicke im Randabschnitt die Gleichmäßigkeit der Dicke verbessert.
  • Wenn Distickstoffoxidgas benutzt wird, wird das Gas im Verbrennungssystem 2 in einen aktivierten Zustand unmittelbar vor dem Zerlegen des Gases versetzt. Daher ist die Zerlegung des Gases bei dessen Eintreten in die Reaktionsröhre 31 und Erreichen der Seite der oberen Etage der Waferhalterung 4 bereits wesentlich fortgeschritten. Somit unterscheidet sich, wenn das Gas vom Umfangsrand des Wafers W in Richtung dessen Mitte strömt, der Zerlegungsgrad am Umfangsrand des Wafers kaum von dem Zerlegungsgrad in der Mitte des Wafers W, so daß die Menge der bei der Zerlegung des Distickstoffoxids entstehenden aktiven Spezies an allen Positionen die gleiche oder im wesentlichen die gleiche ist. Infolgedessen wird die Gleichmäßigkeit der Dicke innerhalb der Waferebene auf dem Wafer W, der auf der obersten Etage der Waferhalterung 4 positioniert ist, verbessert. Daher ist die Schwankung der Gleichmäßigkeit innerhalb der Waferebene zwischen dem Wafer W auf der Seite der oberen Etage und dem Wafer W auf der Seite der unteren Etage gering, und die Gleichmäßigkeit der Dicke über die Ebenen hinweg wird verbessert.
  • Das Phänomen, daß bei dem Trockenoxidationsprozeß in der Reaktionsröhre 31 Wasserdampf erzeugt wird, und das Phänomen, daß bei dem Prozeß, der Distickstoffoxidgas benutzt, in der Reaktionsröhre 31 Distickstoffoxidgas zerlegt wird, haben bei niedrigeren Temperaturen eine größere Aufwirkung auf die Gleichmäßigkeit der Dicke innerhalb der Waferebene und die Gleichmäßigkeit der Dicke über die Ebenen hinweg. Daher ist es gemäß dieser bevorzugten Ausführungsform möglich, einen beträchtlichen Beitrag zur Absenkung der Temperatur bei der Wärmebehandlung zu leisten.
  • Darüber hinaus wird das zum Ausführen eines Naßoxidationsprozesses benutzte Verbrennungssystem zum Erwärmen eines Prozeßgases bei einem Trockenoxidationsprozeß und bei einem Prozeß, der Distickstoffgas verwendet, genutzt, so daß kein zusätzlicher dedizierter Heizer bereitgestellt werden muß, was hinsichtlich Kosten und Raumeffizienz vorteilhaft ist.
  • Das Gas, das bei dem Trockenoxidationsprozeß benutzt wird, ist nicht auf Wasserstoffchloridgas beschränkt; es könnte auch Dichlorethen (C2H2Cl2, Trans-1,2-Dichlorethylen) benutzt werden, oder diese Gase können gemischt werden. Bei der obenstehenden Beschreibung wird das Prozeßgas unter Verwendung der äußeren Heizkammer 62 erwärmt, wenn nicht der Naßoxidationsprozeß, sondern ein anderer Prozeß ausgeführt wird. Gemäß dem vorliegenden Beispiel kann die innere Heizkammer 61 benutzt werden, und es können beide Heizkammern 61 und 62 benutzt werden. Gemäß dem vorliegenden Beispiel kann zusätzlich zu der ersten Gasleitung und der zweiten Gasleitung eine weitere Gasleitung außerhalb einer Heizkammer ausgebildet werden, z. B. ein Heizer 23 zusätzlich zu den Heizkammern 61 und 62, um das Prozeßgas mit Hilfe des Heizers 23 zu erwärmen, während das Prozeßgas durch die Gasleitung geleitet wird.
  • Wie oben beschrieben wurde, ist es möglich, beim Durchführen eines Oxidationsprozesses an einem zu behandelnden Objekts eine hohe Gleichmäßigkeit der Dicke des Oxidfilmes zu erzielen und einen Beitrag zum Absenken einer Prozeßtemperatur zu leisten. Außerdem wird das Verbrennungssystem für einen Naßoxidationsprozeß zum Heizen des Prozeßgases benutzt; dies ist hinsichtlich Kosten und Raumeffizienz vorteilhafter, als einen dedizierten Heizer bereitzustellen.
  • Unter Bezugnahme auf 7 bis 11 wird nachstehend eine bevorzugte Ausführungsform der von der vorliegenden Anmeldung bereitgestellten Erfindung beschrieben.
  • Zunächst wird ein Beispiel für ein vertikales Wärmebehandlungssystem zum Ausführen eines Verfahrens gemäß der vorliegenden Erfindung beschrieben. In 7 bezeichnet das Bezugszeichen 2 eine Reaktionsröhre mit doppelter Röhrenstruktur, die eine innere Röhre 102a und eine äußere Röhre 102b aus z. B. Quarz umfaßt. Die Reaktionsröhre 102 ist auf der Unterseite mit einem zylinderförmigen Verteilerstück aus Metall versehen.
  • Das obere Ende der inneren Röhre 102a ist offen, und die innere Röhre 102a wird in dem Verteilerstück 121 unterstützt. Das obere Ende der äußeren Röhre 102b ist geschlossen, und das untere Ende davon ist luftdicht mit dem oberen Ende des Verteilerstücks 121 verbunden. Bei dieser Ausführungsform bilden die äußere Röhre 102b und das Verteilerstück 121 ein Reaktionsgefäß. Das Bezugszeichen 22 bezeichnet eine Grundplatte.
  • Zum Beispiel sind, wie in 8 gezeigt ist, in der Reaktionsröhre 102 eine große Zahl, z. B. ca. 60, von Wafern W, die als zu behandelnde Objekte dienen, in vertikaler Richtung intermittierend horizontal auf den Fächern einer Waferhalterung 123 befestigt. Die Waferhalterung 123 wird über eine wärmerückhaltende Verschlußkappe (Wärmeisolationselement) 125 auf einem Deckel 124 gehalten. Der Deckel 124 ist auf einem Lift 126 befestigt, welcher bereitgestellt ist, um die Waferhalterung 123 in die Reaktionsröhre 102 hinein und aus dieser heraus zu tragen. Wenn der Deckel 124 am oberen Anschlag positioniert ist, dient er dazu, eine Öffnung an der Unterseite des Verteilerstücks 121 zu schließen, d. h., eine Öffnung an der Unterseite des von der Reaktionsröhre 102 und dem Verteilerstück 121 gebildeten Reaktionsgefäßes. Ferner bezeichnet in 8 das Bezugszeichen 127 einen Transferarm zum Transferieren der Wafer W an die Waferhalterung 123.
  • Rings um den Umfang der Reaktionsröhre 102 ist ein Heizer 128 bereitgestellt, der als Heizmittel dient und die Reaktionsröhre 102 umgibt. Der Heizer 128 umfaßt z. B. ein Heizwiderstandselement, und die Temperatur des Heizers 128 wird auf Basis eines zuvor eingegebenen Temperaturprofils für einen Abscheidungsprozeß von einem Regelungsteil geregelt, welches später beschrieben werden wird.
  • Das Verteilerstück 121 ist mit mehreren ersten Gaszufuhrrohren 103, die als Gaszufuhrleitungen zum Zuführen von N2O (Distickstoffoxid), das als erstes Prozeßgas dient, in die Reaktionsröhre 102 dienen, und mit mehreren zweiten Gaszufuhrrohren 104 zum Zuführen von SiH2Cl2 (Dichlorsilan), das als zweites Prozeßgas dient, in die Reaktionsröhre 102 dergestalt versehen, daß die ersten und die zweiten Gaszufuhrrohre 103 und 104 in Umfangsrichtung intermittierend angeordnet sind. Die jeweiligen Gase werden aus der ersten und der zweiten Gaszufuhrquelle 131 und 141, die außerhalb des Systems bereitgestellt sind, über die Gaszufuhrrohre 103 und 104 in die Reaktionsröhre 102 eingeleitet.
  • In dem ersten und dem zweiten Gaszufuhrrohr 103 bzw. 104 sind Gasdurchflußregelteile 132 bzw. 142 zum Regeln der Durchflußraten der Gase bereitgestellt. Die Gasdurchflußregelteile 133 und 143 zeigen Abschnitte mit Gasdurchflußreglern und Ventilen. Die Zeitsteuerung der Prozeßgaszufuhr (des Öffnen und Schließens) während des Abscheidungsprozesses erfolgt auf Basis eines zuvor eingegebenen Prozeßgas-Zufuhrprogramms in Reaktion auf ein von dem Steuerteil empfangenes Steuersignal. Das Verteilerstück 121 ist mit einem Ausströmrohr 143 verbunden, das zwischen der inneren Röhre 102a und dem äußeren Rohr 103b offen ist, so daß mit Hilfe eines Evakuierungsmittels (nicht gezeigt) im Inneren der Reaktionsröhre 102 eine Atmosphäre mit einem vorbestimmten reduzierten Druck aufrechterhalten werden kann.
  • Im folgenden wird ein System zum Zuführen von N2O-Gas, das als erstes Prozeßgas dient, beschrieben. Dem Gasdurchflußregelteil 131 des ersten Gaszufuhrrohrs 103 sind stromabwärts ein Heizer 105, der als Heizteil zum Vorwärmen des N2O-Gases auf eine vorbestimmte Temperatur dient, und eine Verengung 106 nachgeordnet, wobei der Heizer 105 stromaufwärts vor der Verengung 106 angeordnet ist.
  • Der Heizer 105 ist beispielsweise, wie in 9 gezeigt, auf dem Weg des ersten Gaszufuhrrohrs 103 außerhalb des vertikalen Wärmebehandlungssystems bereitgestellt. Der Heizer 105 weist eine Heizkammer 151 aus z. B. Quarz auf, die dergestalt bereitgestellt ist, daß sie das erste Gaszufuhrrohr 103 blockiert. Die Heizkammer 151 umfaßt z. B. eine zylinderförmige Heizröhre, deren Innendurchmesser größer als der Innendurchmesser des Gaszufuhrrohrs 103 ist und die dergestalt angeordnet ist, daß ihre Längsrichtung mit der Gasventilationsrichtung zusammenfällt. Die Heizkammer 151 ist mit einem Ventilationswiderstandsmaterial 152 gefüllt, zum Beispiel einer großen Zahl transparenter Quarzglasscherben.
  • Nachstehend wird ein Beispiel für die Heizkammer 151 beschrieben. Wenn zum Beispiel der Innendurchmesser des ersten Gaszufuhrrohrs 103 20 mm beträgt, liegt der Innendurchmesser der Heizkammer 151 im Bereich von 60 mm bis 80 mm, und die Länge der Heizkammer 151 in Ventilationsrichtung liegt im Bereich von ca. 100 mm bis ca. 200 mm, und die Größe der in die Heizkammer 151 eingefüllten Quarzscherben liegt im Bereich von ca. ∅1 bis ca. ∅10.
  • Ein Heizelement 153, das als Heizteil dient, windet sich spiralenförmig in Ventilationsrichtung um den Außenumfang der Heizkammer 151. Das Heizelement 153 wird ausgebildet, indem ein fasernstrangartiges Element, das durch Zusammenfügen mehrerer Faserbündel aus einem Metall, welches eine geringe Menge an Metallverunreinigungen enthält, z. B. dünne Kohlenstoffasern mit einem Durchmesser von ca. 10 μm, ausgebildet wird, in ein Abdichtelement aus einer Keramik eingefüllt wird, z. B. in eine Röhre aus Quarz (d. h. transparentem Quarz) mit einem Außendurchmesser von zehn Millimeter oder mehr. Wenn das Heizelement 153 unter Strom gesetzt wird, erzeugt es Wärme. In der Figur bezeichnet das Bezugszeichen 154 ein Stromversorgungsteil zur Versorgung des Heizelements mit Strom, und das Bezugszeichen 155 bezeichnet einen abdichtenden Anschluß.
  • Die Heizkammer 151 und das Heizelement 153 werden von einem Heizgehäuse 150 eines zylinderförmigen Wärmeisolationselements umschlossen. Das Heizgehäuse 150 wird zum Beispiel mit einem Kühlmantel 156 zum Durchleiten eines Kühlmittels, z. B. Kühlwasser, in Ventilationsrichtung am Heizelement 153 entlang ausgebildet. Das Kühlwasser wird dem Kühlmantel 156 von einem Kühlwasserzufuhrteil 157 zugeführt. Zum Beispiel ist zwischen dem Kühlmantel 156 und dem Heizelement 153 in dem Heizgehäuse 150 ein Temperaturdetektionsteil 158, z. B. ein Thermoelement, vorgesehen. Auf Basis der solchermaßen von dem Thermoelement detektierten Temperatur gibt ein Regelteil C ein Steuersignal an das Stromversorgungsteil 154 und das Kühlwasserzufuhrteil 157 aus, um die Menge des dem Heizelement 153 bereitgestellten Stroms und die Menge des in den Kühlmantel 156 zugeführten Kühlwassers zu regeln, so daß die Temperatur in der Heizkammer 151 durch die Interaktion zwischen der Heizwirkung des Heizelements 153 und der Kühlwirkung des Kühlmantels 156 auf eine vorbestimmte Temperatur eingeregelt wird.
  • Somit dient die Heizkammer 151 in dem Heizer 105 als Wärmeaustauschteil. Indem ein Prozeßgas in die Heizkammer 151, deren Temperatur auf eine vorbestimmte Temperatur eingere gelt worden ist, eingeleitet und mit dem Ventilationswiderstandsmaterial 152 in Kontakt treten gelassen wird, wird das Prozeßgas auf eine vorbestimmte Temperatur vorgewärmt.
  • Ein der Heizkammer 151 stromabwärts nachgeordneter Abschnitt des Gaszufuhrrohrs 103 ist als Doppelrohr ausgebildet und umfaßt ein inneres Rohr 103a und ein außerhalb des inneren Rohrs 103a und zu diesem beabstandet bereitgestelltes äußeres Rohr 103b. Das andere Ende des äußeren Rohrs 103b ist gebogen, so daß es einen Flanschabschnitt 133 ausbildet, und ist über ein Abdichtelement 134 aus Gummi, z. B. einen O-Ring, mit z. B. der Seitenwand des Verteilerstückes 121 verbunden. Andererseits ist, wie oben beschrieben wurde, das innere Rohr 103a als erstes Gaszufuhrrohr 103 in das Verteilerstück eingesetzt. Das solchermaßen durch die Heizkammer 151 vorgewärmte Prozeßgas durchströmt das innere Rohr 103a und wird über die Verengung 106 in die Reaktionsröhre 102 eingeleitet.
  • Wie in 9 gezeigt ist, ist mit „Verengung 106" ein Abschnitt gemeint, in welchem der Durchmesser des Rohrs plötzlich abnimmt. Bei dieser Ausführungsform ändert sich der Innendurchmesser des äußeren Rohrs 103b nicht; nur der Innendurchmesser des inneren Rohrs 103a nimmt ab. Der Innendurchmesser der Verengung 106 wird auf z. B. 1/50 bis 1/2 des Innendurchmessers des inneren Rohres 103a eingestellt. Stromaufwärts vor und stromabwärts nach der Verengung 106 des inneren Rohrs 103a sind abgeschrägte Wegstücke 161 und 162 zum Verbinden des inneren Rohrs 103a mit der Verengung 106 bereitgestellt. Der Innendurchmesser des stromaufwärts angeordneten abgeschrägten Wegstücks 161 nimmt bis zu der Verengung 106 allmählich ab, und der Innendurchmesser des stromabwärts nachgeordneten abgeschrägten Wegstücks 162 nimmt allmählich zu.
  • Wenn bei einem Beispiel für die Verengung 106 der Innendurchmesser des äußeren Rohrs 103b des Doppelrohrs stromabwärts nach der Heizkammer 141 im Bereich von ∅10 bis ∅18 liegt und der Innendurchmesser des inneren Rohrs 103a im Bereich von ∅2 bis ∅6 liegt, liegt der Innendurchmesser der Verengung 106 im Bereich von ∅0,1 bis ∅2, die Länge der Verengung liegt im Bereich von ca. 0,1 mm bis ca. 1 mm und die Längen des stromaufwärts angeordneten abgeschrägten Wegstücks 161 und des stromabwärts nachgeordneten abgeschrägten Wegstücks 162 liegen jeweils im Bereich von ca. 0,1 mm bis ca. 1 mm.
  • Als Beispiel für ein Verfahren gemäß der vorliegenden Erfindung, das von dem oben beschriebenen System durchgeführt wird, wird nachstehend ein Verfahren zum Ausbilden eines Hochtemperatur-Oxidfilms (HTO-Films) beschrieben. Der HTO-Film wird beispielsweise als Siliziumoxidfilm angewendet, der für eine O-N-O-Film genannte Dreischichtenstruktur benutzt wird, die zwischen dem schwebenden Gate und dem Steuergate eines Flashspeichers bereitgestellt wird und einen Siliziumoxidfilm (SiO2-Film), einen Siliziumnitridfilm (Si3N4-Film) und einen Siliziumoxidfilm (SiO2-Film) umfaßt.
  • Im Speziellen wird zuerst eine große Zahl an Wafern W, die als zu behandelnde Objekte dienen, auf den Fächern einer Waferhalterung 123 gehalten, die über die Öffnung an der Unterseite in die Reaktionsröhre 102 getragen wird, indem der Lift 126 nach oben bewegt wird. Dann wird die Prozeßatmosphäre durch den Heizer 128 auf eine vorbestimmte Temperatur von z. B. 720°C erwärmt, und die Öffnung am unteren Ende des Verteilerstücks 121, d. h., die Schleuse des Reaktionsgefäßes zum Hinein- und Hinaustragen der Wafer, wird von dem Deckel 124 luftdicht verschlossen. Dann wird der Druck in dem Reaktionsgefäß in einer Heizatmosphäre von dem Evakuierungsmittel (nicht gezeigt) über das Ausströmrohr 143 auf einen vorbestimmten Vakuumsgrad reduziert, z. B. auf 0,1 Torr bis 1 Torr.
  • Andererseits erfolgt in dem Heizer 105 die Erwärmung der Heizkammer 151 durch die Kombination aus der Heizwirkung des Heizelements 153 und der durch das Durchleiten von Kühlwasser erzielten Kühlwirkung, wobei das Ventilationswiderstandsmaterial 152 auf eine vorbestimmte Temperatur, z. B. 500°C bis 900°C, erwärmt wird. Dann wird N2O-Gas, das als erstes Prozeßgas dient, von der Gaszufuhrquelle 131 über das Gaszufuhrrohr 103 bei vorbestimmter Durchflußrate, z. B. 100 sccm bis 1000 sccm, in die Heizkammer 151 eingeleitet. Zu dieser Zeit ist, wie oben beschrieben wurde, der Druck in der Reaktionsröhre 102 bereits auf ca. 0,1 Torr bis ca. 1 Torr reduziert worden. Da jedoch zwischen dem Heizer 105 und der Reaktionsröhre 102 die Verengung 106 ausgebildet ist, beträgt der Druck in der Heizkammer 151 aufgrund des Druckabfalls an der Verengung 106 z. B. ca. 200 Torr bis ca. 700 Torr.
  • Also wird durch die Heizkammer, die auf die vorbestimmte Temperatur erwärmt worden ist, N2O-Gas geleitet, das mit dem Ventilationswiderstandsmaterial 152 in Kontakt tritt, so daß das N2O-Gas auf eine Temperatur vorgewärmt wird, bei welcher es aktiviert, jedoch nicht zerlegt wird, d. h., eine Temperatur nahe bei der Zerlegungstemperatur, z. B. 500°C bis 850°C, und anschließend wird das N2O-Gas über das Gaszufuhrrohr 103a in die Reaktionsröhre 102 eingeleitet.
  • Somit werden N2O-Gas, das als erstes Prozeßgas dient, und SiH2Cl2-Gas, das als zweites Prozeßgas dient, aus den Gaszufuhrquellen 131 bzw. 141 über die Gaszufuhrrohre 103 bzw. 104 mit vorbestimmten Durchflußraten in die Reaktionsröhre 102 (speziell in das Reaktionsgefäß, das die Reaktionsröhre 102 und das Verteilerstück 121 umfaßt) zugeführt. Auf diese Weise wird bei einem Druck in der Reaktionsröhre 102 von z. B. 0,1 Torr bis 1 Torr auf der Oberfläche des Wafers W ein Siliziumoxidfilm ausgebildet.
  • Zu dieser Zeit wird durch Zuführen der Prozeßgase gemäß nachstehender Reaktion der Siliziumfilm auf dem Wafer W abgeschieden: 3N2O + SiH2Cl2 → SiO2 + H2O + 3N2 + Cl2
  • Die Prozeßgase diffundieren in der inneren Röhre 102a der Reaktionsröhre 102 zu den Oberflächen der auf der Waferhalterung 123 befestigten Wafer W, steigen zum oberen Endabschnitt der inneren Röhre 102a auf und sinken darin in einem Zwischenraum zwischen der inneren Röhre 102a und der äußeren Röhre 102b wieder ab und werden über das Ausströmrohr 143 abgesaugt. Somit werden die Prozeßgase gleichmäßig an die auf der Waferhalterung 123 befestigten Wafer W zugeführt, so daß auf den Wafer W der Siliziumoxidfilm ausgebildet wird.
  • Nach Abschluß der vorbestimmten Abscheidung des Siliziumfilms wird die Prozeßgaszufuhr unterbrochen und die Oberflächentemperatur des Wafers W auf eine vorbestimmte Temperatur abgesenkt. Darüber hinaus wird aus z. B. zwei der Gaszufuhrleitungen 103 und 104, die während der Abscheidung zum Einleiten der Prozeßgase benutzt wurden, ein Spülgas, z. B. N2-Gas, eingeleitet, so daß das Innere der Reaktionsröhre 102 wieder auf Umgebungsdruck gebracht wird. Dann wird der Lift 126 nach unten bewegt, um die im unteren Ende der Reaktionsröhre 102 ausgebildete Schleuse zum Herein- und Heraustragen zu öffnen und die Waferhalterung 123 aus der Reaktionsröhre 102 herauszutragen.
  • Gemäß einer solchen bevorzugten Ausführungsform werden die Prozeßgase von dem Heizer 105 vorgewärmt und der Reaktionsröhre 102 zugeführt, so daß es möglich ist, einen Abscheidungsprozeß mit hoher Gleichmäßigkeit innerhalb der Waferebene selbst dann auszuführen, wenn ein so genannter Niedrigtemperaturprozeß bei niedriger Prozeßtemperatur in der Reaktionsröhre 102 ausgeführt wird. Das heißt, daß, wie unter „Beschreibung der verwandten Technik" beschrieben wurde, die Temperatur des Mittenabschnitts des Wafers W höher als die Temperatur dessen Randabschnitts ist, und die Prozeßgase strömen von dem Randabschnitt des Wafers W zu dessen Mittenabschnitt. Falls daher die Prozeßtemperatur gegenüber den 750°C bei 830°C bei einem herkömmlichen Prozeß auf ca. 720°C abgesenkt wird, werden dem Randabschnitt des Wafers Abscheidungsgase zugeführt, in denen die Abscheidungsreaktion nicht so gut abläuft, d. h., bei denen der Zerlegungsgrad klein ist. Andererseits werden an den Mittenabschnitt des Wafers die Prozeßgase zugeführt, bei denen die Abscheidungsreaktion abläuft, d. h., der Zerlegungsgrad hoch ist, da hier die Temperatur und die Konzentration der Gase höher als im Randabschnitt sind. Somit kann im Mittenabschnitt des Wafers W die Abscheidungsreaktion einfacher ablaufen als in dessen Randabschnitt, so daß die Dicke des ausgebildeten Films im Mittenabschnitt größer als im Randabschnitt ist.
  • Wenn andererseits gemäß der vorliegenden Erfindung die Prozeßgase von dem Heizer 105 auf eine Temperatur vorgewärmt werden, bei welcher die Prozeßgase derart aktiviert werden, daß die Zerlegungsreaktion nicht abläuft, d. h., auf eine Temperatur in der Nähe der Zerlegungstemperatur, und in die Reaktionsröhre 102 eingeleitet werden, so werden die in die Reaktionsröhre 102 eingeleiteten Prozeßgase selbst dann, wenn in der Reaktionsröhre 102 eine niedrige Prozeßtemperatur von ca. 720°C herrscht, auf eine über der Zerlegungstemperatur liegende Temperatur erwärmt, bevor sie den Randabschnitt des Wafers erreichen, so daß dem Randabschnitt des Wafers Prozeßgase zugeführt werden, in denen die Zerlegungsreaktion genügend weit fortgeschritten ist, da die Prozeßgase auf die Temperatur in der Nähe der Zerlegungstemperatur vorgewärmt worden sind.
  • Da somit dem Randabschnitt und dem Mittenabschnitt des Wafers W Prozeßgase mit im wesentlichen demselben Zerlegungsgrad zugeführt werden, erfolgt die Zerlegungsreaktion auf der gesamten Oberfläche des Wafers W im wesentlichen in demselben Zustand, so daß es möglich ist, die hohe Gleichmäßigkeit der Dicke des ausgebildeten Filmes innerhalb der Waferebene zu gewährleisten.
  • In dem Heizer 105 zum Vorwärmen der Prozeßgase ist die Heizkammer 151 mit dem Ventilationswiderstandsmaterial 152 zum Erwärmen der Prozeßgase, während sie in Kontakt mit dem Ventilationswiderstandsmaterial 152 treten gelassen werden, versehen, so daß es möglich ist, die Temperatur der Prozeßgase effizient anzuheben. D. h., die Heizkammer 151 ist mit dem Ventilationswiderstandsmaterial 152 gefüllt, so daß die Prozeßgase beim Durchströmen der Heizkammer 151 mit dem Ventilationswiderstandsmaterial 152 in Kontakt stehen. Dadurch wird die Verweildauer der Prozeßgase gesteigert, so daß die Prozeßgase durch die Kombination aus der Heizwirkung auf Basis der Konvektion der von dem Heizelement 153 erwärmten Prozeßgase selbst und der Heizwirkung auf Basis des Wärmeübergangs aus dem Ventilationswiderstandsmaterial 152 erwärmt werden.
  • Wenn die Heizkammer 151 mit Quarzscherben mit einer Größe von ca. ∅1 bis ca. ∅10 als Ventilationswiderstandsmaterial 152 gefüllt ist, ist die Gesamtoberfläche der Quarzscherben 152 groß, so daß für eine große Wärmeübergangsfläche gesorgt werden kann, um die Temperatur der Prozeßgase effizienter anheben zu können.
  • Da das Prozeßgaszufuhrrohr 103 mit der Verengung 106 zwischen dem Heizer 105 und der Reaktionsröhre 102 versehen ist, können die Prozeßgase auch dann zur Genüge auf eine vorbestimmte Temperatur erwärmt werden, wenn in dem Reaktionsgefäß ein Prozeß mit niedrigem Druck durchgeführt wird. D. h., in der Verengung 106 tritt ein Druckabfall auf, und daher ist der Druck stromaufwärts vor der Verengung höher als der Druck stromabwärts nach der Verengung. Somit beträgt der Druck in der stromaufwärts vor der Verengung 106 angeordneten Heizkammer 151 z. B. ca. 200 Torr bis ca. 700 Torr, selbst wenn der Druck im Reaktionsgefäß 102 auf z. B. ca. 0,1 Torr bis ca. 1 Torr reduziert wird. Andererseits beträgt der Druck in der Heizkammer 151 z. B. nur ca. 0,2 Torr bis ca. 1 Torr, wenn der Druck in dem Reaktionsgefäß 102 auf z. B. ca. 0,1 Torr bis ca. 1 Torr reduziert wird und die Verengung 106 nicht bereitgestellt ist.
  • Somit wird durch Bereitstellen der Verengung 106 der Grad der Druckminderung – welche die Konvektion in der Heizkammer 151 erschweren würde – reduziert. Außerdem steigen die Partialdrücke der Prozeßgase in der Heizkammer 151. Daher kann, verglichen mit einem Fall, bei dem die Verengung 106 nicht bereitgestellt ist, der Wärmeübergang durch Konvektion der Prozeßgase in der Heizkammer 105 einfach stattfinden. Somit wird, da genügend Wärme in das Innere des Heizers 105 übergeht, die Effizienz des Wärmeübergangs auf die Prozeßgase verbessert, so daß es möglich wird, die Prozeßgase in kurzer Zeit auf eine vorbestimmte Temperatur zu erwärmen und einen Niedrigtemperaturprozeß zu realisieren.
  • Unter Verwendung desselben Wärmebehandlungssystems wie dem in der oben beschriebenen bevorzugten Ausführungsform wurde der Druck in der Reaktionsröhre 102 auf 0,1 bis 1 Torr eingestellt, die Prozeßtemperatur in der Reaktionsröhre 102 wurde auf 720°C eingestellt, und die Temperatur in der Heizkammer 151 wurde auf 500°C bis 900°C eingestellt. Außerdem wurden in das System N2O-Gas und SiH2Cl2-Gas mit einer Durchflußrate von ca. 100 sccm bis ca. 1000 sccm bzw. 100 sccm bis ca. 300 sccm eingeleitet, um einen Abscheidungsprozeß durchzuführen. Die Gleichmäßigkeit der Dicke eines solchermaßen auf dem Wafer W ausgebildeten Siliziumoxidfilms innerhalb der Waferebene wurde mit Hilfe einer Dickenmeßvorrichtung (Ellipsometer) gemessen. Das Meßergebnis bestätigte, daß es möglich war, das N2O-Gas durch Einleiten in die Heizkammer 151 mit der oben beschriebenen Durchflußrate zur Genüge vorzuwärmen, und daß es möglich war, die hohe Gleichmäßigkeit der Dicke des ausgebildeten Films auch bei einem Niedrigtemperaturprozeß zu realisieren.
  • Außerdem werden dadurch, daß die stromabwärts dem Heizer 105 nachgeordnete Seite ein Doppelrohr ist, die folgenden Wirkungen erzielt. Wenn zur Verbindung der Heizkammer 151 mit der Reaktionsröhre 102 ein als einzelnes Rohr ausgebildetes Gaszufuhrrohr benutzt wird, wird der Endabschnitt des einzelnen Rohrs als Flansch ausgebildet, und die Verbindung erfolgt über ein zwischen dem Flansch und der Reaktionsröhre 102 bereitgestelltes Abdichtelement (O-Ring) aus Gummi. Bei einer solchen Konstruktion ist die Temperatur der die Heizkammer 151 verlassenden Prozeßgase hoch, z. B. ca. 450°C bis ca. 850°C, so daß die Gaszufuhrleitung durch das Durchleiten der Prozeßgase erwärmt wird. Somit liegt die Temperatur des Flansches über der Wärmebeständigkeitstemperatur des Abdichtelements aus Gummi, die z. B. 250°C beträgt. Das Abdichtelement 134 aus Gummi wird durch die von dem Flansch ausgehende Wärme deformiert, so daß Gaslecks auftreten können.
  • Wenn andererseits erfindungsgemäß das Doppelrohr benutzt wird, werden die Prozeßgase durch das innere Rohr 103a geleitet, so daß sie nicht mit dem äußeren Rohr 103b in Kontakt treten. In dem äußeren Rohr 103b findet daher kein durch Kontakt mit den Prozeßgasen bedingter Wärmeübergang statt, so daß die Temperatur des äußeren Rohrs 103b nicht so weit ansteigt wie die des inneren Rohrs 103a. Wenn daher der Flansch 133, der über das Abdichtelement 134 aus Gummi mit dem Reaktionsrohr 102 verbunden wird, aus dem äußeren Rohr 103b ausgebildet ist, übersteigt die Temperatur des äußeren Rohrs nicht die Wärmebeständigkeitstemperatur des Abdichtelements 134 aus Gummi. Daher besteht keine Möglichkeit einer Deformation des Abdichtelements 134 aus Gummi durch die von dem Flansch 133 ausgehende Wärme, so daß die Zuverlässigkeit verbessert werden kann.
  • Wenn das Gaszufuhrrohr 103 das Doppelrohr umfaßt, ist zwischen dem inneren Rohr 103a, durch welches die Gase geleitet werden, und der Außenluft das äußere Rohr 103b angeordnet und verhindert den Kontakt zwischen dem inneren Rohr 103a und der Außenluft, so daß die Kühlwirkung der Außenluft auf das innere Rohr 103a abnimmt. Da also die Wärmeverluste beim Durchleiten der erwärmten Prozeßgase durch das innere Rohr 103a verringert werden, wird der Temperaturabfall der Prozeßgase unterdrückt, so daß der durch das Vorwärmen herbeigeführte aktivierte Zustand der Prozeßgase bis zum Einleiten in das Reaktionsrohr 102 aufrechterhalten werden kann.
  • Anhand von 10 und 11 wird nachstehend ein weiteres Beispiel beschrieben. Bei diesem Beispiel ist eine Gaszufuhrleitung (erstes Gaszufuhrrohr 103) mit einer Gaskammer 107 versehen, welche eine Kombination aus einer Heizkammer mit einer Verengung umfaßt, so daß die Gaszufuhrleitung blockiert wird. Die Gaskammer 107 weist drei Abteilungen 107a, 107b und 107c auf, die in Ventilationsrichtung angeordnet sind. Diese Abteilungen 107a bis 107c werden durch Trennwände 172a und 172b separiert, die Lüftungslöcher 171a und 171b aufweisen, welche als Verengungen dienen und einen kleineren Innendurchmesser aufweisen als das erste Gaszufuhrrohr 103. Die stromaufwärts angeordnete erste Abteilung 107a und die stromabwärts angeordnete dritte Abteilung 107c sind jeweils mit dem ersten Gaszufuhrrohr 103 verbunden, und zwischen der ersten Abteilung 107a und der dritten Abteilung 107c ist die zweite Abteilung 107b bereitgestellt.
  • Die erste Abteilung 107a ist als Heizkammer ausgebildet und mit einem Ventilationswiderstandsmaterial 173 aus z. B. perlenartigen Scherben gefüllt, und um die erste Abteilung 107a ist ein Heizelement 174 gewickelt, das als Heizteil dient, wie in 10b gezeigt ist. Das Ventilationswiderstandsmaterial 173 und das Heizelement 174 sind dieselben wie in der oben beschriebenen bevorzugten Ausführungsform.
  • Bei einer solchen Konstruktion sind die erste Abteilung 107b und die dritte Abteilung 107c angrenzend an die Heizkammer 107a bereitgestellt, und die Verengungen 171a und 171b sind in den Verbindungsabschnitten dazwischen bereitgestellt. Wenn daher der Druck in der Reaktionsröhre 107a reduziert wird, nimmt aufgrund des Druckabfalls an den Verengungen der Druck in der ersten Abteilung 107a, der zweiten Abteilung 107b und der dritten Abteilung 107c (in dieser Reihenfolge) ab. Da daher in der ersten Abteilung 107a der kleinste Grad an Druckverminderung vorliegt, tritt in dieser Abteilung 107a genügend Konvektion auf und es geht zur Genüge Wärme ins Innere der Heizkammer über, so daß es möglich ist, die Effizienz der Erwärmung der Prozeßgase zu verbessern und sie zur Genüge auf eine vorbestimmte Temperatur zu erwärmen.
  • Bei der oben beschriebenen Ausführungsform können die Prozeßgase in der Heizkammer 151 durch die Konvektion der Prozeßgase erwärmt werden, ohne die Ventilationswiderstandsmaterialien 152 und 173 in die Heizkammer einzufüllen. Die Ventilationswiderstandsmaterialien 152 und 173 können außer Quarzscherben auch Schaumquarz oder poröses SiC sein.
  • Bei der oben beschriebenen Ausführungsform ist die Zerlegungstemperatur von SiH2Cl2, das als zweites Gas dient, niedriger als die des N2O-Gases, und die Zerlegungsreaktion findet in der Reaktionsröhre 102 zur Genüge statt, selbst wenn kein Vorwärmen erfolgt, so daß nur das N2O-Gas, das als erstes Prozeßgas dient, vorgewärmt wird. Jedoch kann auch das SiH2Cl2-Gas vorgewärmt werden.
  • Die vorliegende Erfindung kann nicht nur auf den CVD-Prozeß bei niedrigem Druck angewendet werden, sondern auch bei Prozessen, die bei Umgebungsdruck ablaufen und z. B. unter Verwendung von HCl-Gas (Wasserstoffchlorid) und O2-Gas (Sauerstoff) als Prozeßgasen die folgende Reaktion bewirken: 2HCl + O2 → H2O + Cl2
  • Das System, auf welches die vorliegende Erfindung angewendet werden kann, ist nicht auf das oben beschriebene vertikale Wärmebehandlungssystem vom Chargentyp beschränkt, sondern die Erfindung kann auch dann effektiv angewendet werden, wenn zum Abscheiden eines Dünnfilms ein Wärmebehandlungssystem für einzelne Wafer benutzt wird. Auch in diesem Fall ist es möglich, einen Prozeß mit hoher Gleichmäßigkeit durchzuführen. Die vorliegende Erfindung kann nicht nur auf das Abscheiden eines Siliziumoxidfilms angewendet werden, sondern auch auf das Abscheiden eines Polysiliziumfilms, eines auf TEOS basierenden Siliziumoxidfilms und eines Siliziumnitridfilms. Die vorliegende Erfindung kann außer auf CVD-Abscheidungsprozesse auch auf das Abscheiden eines Oxidfilms in anderen Trockenoxidations-, Naßoxidations- und HCl-Oxidationsprozessen angewendet werden.
  • Wie oben beschrieben wurde, wird gemäß der von der vorliegenden Anwendung bereitgestellten Erfindung das Prozeßgas in das Reaktionsgefäß zugeführt, nachdem es von dem außerhalb des Reaktionsgefäßes bereitgestellten Heizteil auf eine vorbestimmte Temperatur vorgewärmt worden ist, so daß es möglich ist, die Prozeßtemperatur abzusenken und dabei die Gleichmäßigkeit des Prozesses zu gewährleisten. In diesem Falle ist es selbst bei einem Prozeß mit niedrigem Druck möglich, den Grad der Druckminderung in dem Heizteil zu verringern und somit das Prozeßgas im Heizteil effizient zu heizen, wenn zwischen dem Heizteil und der Reaktionsröhre die Verengung bereitgestellt wird.
  • Die vorliegende Erfindung wurde zwar anhand der bevorzugten Ausführungsformen offenbart, um ein besseres Verständnis zu erleichtern, es versteht sich jedoch, daß die Erfindung auf verschiedene Wege ausgeführt werden kann, ohne von dem Grundsatz der Erfindung abzuweichen. Daher soll die Erfindung derart aufgefaßt werden, daß sie alle möglichen Modifikationen an den gezeigten Ausführungsformen umfaßt, die ausgeführt werden können, ohne von dem in den beigefügten Ansprüchen dargelegten Grundsatz der Erfindung abzuweichen.

Claims (9)

  1. Wärmebehandlungssystem, bei dem ein zu behandelndes Objekt (W) in ein Reaktionsgefäß (102) getragen wird, dessen Inneres auf eine vorbestimmte Prozeßtemperatur erwärmt wird, und ein Prozeßgas über eine Gaszufuhrleitung (103) in das Reaktionsgefäß zugeführt wird, um das Objekt (W) zu bearbeiten, wobei das Wärmebehandlungssystem folgendes umfaßt: ein Heizteil (105), welches in der Gaszufuhrleitung (103) vorgesehen ist, um das Prozeßgas auf eine vorbestimmte Temperatur zu erwärmen, bevor das Prozeßgas in das Reaktionsgefäß (102) zugeführt wird, wobei die zwischen dem Heizteil (105) und dem Reaktionsgefäß (102) angeordnete Gaszufuhrleitung (103) ein Doppelrohr umfaßt, welches ein inneres Rohr (103a) und ein äußeres Rohr (103b) umfaßt, welches außerhalb des inneren Rohrs (103a) so vorgesehen ist, daß es von dem inneren Rohr (103a) beabstandet ist, und wobei das Prozeßgas über die Gaszufuhrleitung (103) in das Heizteil (105) zugeführt wird, um auf eine vorbestimmte Temperatur vorgewärmt zu werden und über die Gaszufuhrleitung (103) in das Reaktionsgefäß (102) zugeführt zu werden, dadurch gekennzeichnet, daß das System ferner folgendes umfaßt: Evakuierungsmittel zum Verringern des Drucks in dem Reaktionsgefäß (102) auf einen vorbestimmten Vakuumsgrad, und eine Öffnung (106), welche in dem inneren Rohr (103a) der Gaszufuhrleitung (103) zwischen dem Heizteil (105) und dem Reaktionsgefäß (102) vorgesehen ist, wobei während der Druck in dem Heizteil (105) aufgrund des Druckverlustes an der Öffnung (106) höher ist als in dem Reaktionsgefäß (102) das Prozeßgas über die Gaszufuhrleitung (103) in das Heizteil (105) zugeführt wird, um das Prozeßgas auf eine vorbestimmte Temperatur vorzuwärmen und das vorgewärmte Prozeßgas in das Reaktionsgefäß (102) zuzuführen.
  2. Wärmebehandlungssystem nach Anspruch 1, bei dem das äußere Rohr des Doppelrohrs der Gaszufuhrleitung gebogen ist, um einen Flansch zu bilden, der über ein Abdichtelement mit dem Reaktionsgefäß verbunden ist.
  3. Wärmebehandlungssystem nach Anspruch 1 oder 2, bei dem das Heizteil (105) eine Heizkammer (151) zum Erwärmen des Prozeßgases umfaßt, und ein Heizteil (153), das so angeordnet ist, daß es die Heizkammer (151) zum Erwärmen der Heizkammer (151) umgibt.
  4. Wärmebehandlungssystem nach einem der vorhergehenden Ansprüche, bei dem das Wärmebehandlungssystem ein vertikales Wärmebehandlungssystem ist, in welchem eine große Zahl von zu behandelnden Objekten (W) auf den Fächern eines Halters (123) gehalten wird, um in dem Reaktionsgefäß (102) getragen zu werden, und das Innere des Reaktionsgefäßes (102) durch Heizmittel (128), welche das Reaktionsgefäß (102) umgeben, auf eine vorbestimmte Prozeßtemperatur erwärmt wird.
  5. Wärmebehandlungssystem nach Anspruch 3, bei dem in der Heizkammer (151) ein Ventilationswiderstandsmaterial (152) vorgesehen ist, wobei das Ventilationswiderstandsmaterial (152) mit dem Prozeßgas in Kontakt ist, um das Prozeßgas auf eine vorbestimmte Temperatur vorzuwärmen.
  6. Wärmebehandlungssystem nach Anspruch 3, bei dem das Prozeßgas in der Heizkammer (151) auf eine Temperatur vorgewärmt wird, bei der das Prozeßgas derart aktiviert wird, daß das Prozeßgas zerlegt wird.
  7. Wärmebehandlungssystem nach Anspruch 3, bei dem das Heizteil (105) ein Widerstandsheizelement (153) umfaßt, welches eine geringe Menge an Metallverunreinigungen aufweist und welches in eine Keramik gefüllt ist.
  8. Wärmebehandlungssystem nach Anspruch 7, bei dem das Widerstandsheizelement (153) aus einem Kohlenstoffmaterial mit hoher Reinheit hergestellt ist.
  9. Wärmebehandlungssystem nach Anspruch 7, bei dem die Keramik durch Quarz gebildet wird.
DE60131698T 2000-05-31 2001-05-30 Thermische Behandlungsvorrichtung und Verfahren Expired - Lifetime DE60131698T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000162769A JP3625741B2 (ja) 2000-05-31 2000-05-31 熱処理装置及びその方法
JP2000163002 2000-05-31
JP2000163002A JP3516635B2 (ja) 2000-05-31 2000-05-31 熱処理装置
JP2000162769 2000-05-31

Publications (2)

Publication Number Publication Date
DE60131698D1 DE60131698D1 (de) 2008-01-17
DE60131698T2 true DE60131698T2 (de) 2008-10-30

Family

ID=26593049

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60131698T Expired - Lifetime DE60131698T2 (de) 2000-05-31 2001-05-30 Thermische Behandlungsvorrichtung und Verfahren

Country Status (5)

Country Link
US (2) US6540509B2 (de)
EP (1) EP1160838B1 (de)
KR (3) KR100783841B1 (de)
DE (1) DE60131698T2 (de)
TW (1) TW550629B (de)

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW578214B (en) 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
DE60131698T2 (de) * 2000-05-31 2008-10-30 Tokyo Electron Ltd. Thermische Behandlungsvorrichtung und Verfahren
JP2002286665A (ja) * 2001-03-23 2002-10-03 Fujikin Inc 未反応ガス検出装置及び未反応ガス検出センサ
JP3421660B2 (ja) * 2001-05-09 2003-06-30 東京エレクトロン株式会社 熱処理装置及びその方法
US20030000471A1 (en) * 2001-06-18 2003-01-02 Soo-Sik Yoon Method and apparatus for manufacturing semiconductor devices
US6793966B2 (en) 2001-09-10 2004-09-21 Howmet Research Corporation Chemical vapor deposition apparatus and method
KR100457451B1 (ko) * 2001-12-06 2004-11-17 주성엔지니어링(주) 소스 및 반응가스 전처리 장치
JP4061062B2 (ja) * 2001-12-13 2008-03-12 ローム株式会社 半導体発光素子の製法および酸化炉
US6953605B2 (en) * 2001-12-26 2005-10-11 Messier-Bugatti Method for densifying porous substrates by chemical vapour infiltration with preheated gas
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US7208195B2 (en) * 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
KR100481008B1 (ko) * 2002-06-03 2005-04-07 주성엔지니어링(주) 화학기상증착공정용 기체 가열장치 및 이를 이용한반도체소자 제조방법
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
TW200409230A (en) * 2002-11-28 2004-06-01 Au Optronics Corp Method for avoiding non-uniform etching of silicon layer
JP3872027B2 (ja) * 2003-03-07 2007-01-24 株式会社東芝 クリーニング方法及び半導体製造装置
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
JP4342895B2 (ja) * 2003-10-06 2009-10-14 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US7190889B2 (en) * 2004-05-17 2007-03-13 Neocera, Llc Non-contact heater and method for non-contact heating of a substrate for material deposition
KR100609065B1 (ko) * 2004-08-04 2006-08-10 삼성전자주식회사 산화막 형성 장치 및 방법
US20060228492A1 (en) * 2005-04-07 2006-10-12 Sumco Corporation Method for manufacturing SIMOX wafer
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
JP2008004852A (ja) * 2006-06-23 2008-01-10 Tokyo Electron Ltd 石英製品及び熱処理装置
JP4369448B2 (ja) * 2006-06-23 2009-11-18 東京エレクトロン株式会社 石英製品のベーク方法
TW200818327A (en) * 2006-09-29 2008-04-16 Sumco Techxiv Corp Silicon wafer heat treatment method
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US7758338B2 (en) * 2007-05-29 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier, port apparatus and facility interface and apparatus including same
US7856737B2 (en) * 2007-08-28 2010-12-28 Mathews Company Apparatus and method for reducing a moisture content of an agricultural product
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US8354135B2 (en) * 2008-03-17 2013-01-15 Tokyo Electron Limited Thermal processing apparatus, method for regulating temperature of thermal processing apparatus, and program
JP2010034283A (ja) 2008-07-29 2010-02-12 Hitachi Kokusai Electric Inc 基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011063007A2 (en) 2009-11-18 2011-05-26 Rec Silicon Inc Fluid bed reactor
KR101678043B1 (ko) * 2009-12-28 2016-11-22 삼성전자 주식회사 비패턴 웨이퍼 검사 장치
US20110206842A1 (en) * 2010-02-25 2011-08-25 Vithal Revankar CVD-Siemens Reactor Process Hydrogen Recycle System
JP5394292B2 (ja) * 2010-03-12 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置および圧力検知システムと温度センサの組合体
CN102760640B (zh) * 2011-04-25 2015-06-17 中国科学院微电子研究所 防止积留水液的热氧化系统和方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013138180A (ja) * 2011-12-01 2013-07-11 Mitsubishi Electric Corp 半導体ウェハの熱処理方法、太陽電池の製造方法及び熱処理装置
JP2013197421A (ja) * 2012-03-21 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置
CN103377906A (zh) * 2012-04-17 2013-10-30 上海华虹Nec电子有限公司 氮氧化硅薄膜的制造方法
US9481838B2 (en) 2012-06-18 2016-11-01 General Electric Company Capture and storage of emissions from a gasifier
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9799430B2 (en) * 2012-12-11 2017-10-24 Abb Hv Cables (Switzerland) Gmbh Method for heat treatment of an electric power cable
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
CN108878331B (zh) * 2018-08-20 2024-05-31 度亘激光技术(苏州)有限公司 一种批量化半导体湿法氧化装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115193277A (zh) * 2022-06-17 2022-10-18 深圳市德明利光电有限公司 一种用于氧化制程的气体混合装置及处理设备

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US46792A (en) * 1865-03-14 Improved blacksmith s forge
US22523A (en) * 1859-01-04 Corw-sheller
GB1303425A (de) * 1969-01-28 1973-01-17
GB2061243B (en) * 1979-09-12 1983-05-18 Philips Electronic Associated Method of making semiconductor devices
US4315479A (en) * 1980-06-27 1982-02-16 Atomel Corporation Silicon wafer steam oxidizing apparatus
US4351805A (en) * 1981-04-06 1982-09-28 International Business Machines Corporation Single gas flow elevated pressure reactor
JPS60247933A (ja) * 1984-05-23 1985-12-07 Oki Electric Ind Co Ltd 半導体製造装置
US5234501A (en) * 1987-09-01 1993-08-10 Tokyo Electron Sagami Limited Oxidation metod
JPH01319940A (ja) * 1988-06-22 1989-12-26 Kimmon Mfg Co Ltd 外部燃焼酸化装置
JPH0585890A (ja) * 1991-09-25 1993-04-06 Matsushita Electric Ind Co Ltd 薄膜形成装置
JPH0653149A (ja) * 1992-07-31 1994-02-25 Tokyo Electron Ltd 半導体製造装置用シール材
JPH06196422A (ja) 1992-12-25 1994-07-15 Nec Corp 半導体結晶成長装置および成長法
US5445522A (en) * 1993-04-26 1995-08-29 Tokyo Electron Kabushiki Kaisha Combustion device
JPH0710935U (ja) * 1993-07-24 1995-02-14 ヤマハ株式会社 縦型熱処理炉
JP3242244B2 (ja) * 1993-11-19 2001-12-25 東京エレクトロン株式会社 酸化処理装置及び酸化処理方法
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
JP3256059B2 (ja) 1993-12-27 2002-02-12 株式会社日立製作所 半導体装置の製造方法
US5526984A (en) * 1994-07-18 1996-06-18 Saint-Gobain/Norton Industrial Ceramics Corp. Hydrogen torch having concentric tubes and reverse ball joint connection
JP3110316B2 (ja) * 1996-07-25 2000-11-20 日本電気株式会社 外部燃焼酸化装置
JPH11260728A (ja) * 1998-03-11 1999-09-24 Seiko Epson Corp 薄膜形成装置
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
KR100327329B1 (ko) 1998-12-11 2002-07-04 윤종용 저압하의실리콘산화막및산질화막형성방법
JP2000349081A (ja) * 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
JP2001023978A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
JP3587249B2 (ja) * 2000-03-30 2004-11-10 東芝セラミックス株式会社 流体加熱装置
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
DE60131698T2 (de) * 2000-05-31 2008-10-30 Tokyo Electron Ltd. Thermische Behandlungsvorrichtung und Verfahren

Also Published As

Publication number Publication date
KR100783841B1 (ko) 2007-12-10
KR100785132B1 (ko) 2007-12-11
EP1160838A3 (de) 2004-12-01
TW550629B (en) 2003-09-01
KR100785133B1 (ko) 2007-12-11
US6540509B2 (en) 2003-04-01
US6863732B2 (en) 2005-03-08
KR20010109180A (ko) 2001-12-08
DE60131698D1 (de) 2008-01-17
KR20070073728A (ko) 2007-07-10
US20030106495A1 (en) 2003-06-12
US20010049080A1 (en) 2001-12-06
KR20070074539A (ko) 2007-07-12
EP1160838B1 (de) 2007-12-05
EP1160838A2 (de) 2001-12-05

Similar Documents

Publication Publication Date Title
DE60131698T2 (de) Thermische Behandlungsvorrichtung und Verfahren
EP1540717B1 (de) Verfahren zum oxidieren einer schicht und zugehörige aufnahmevorrichtungen für ein substrat
DE69404397T2 (de) Verbesserte Suszeptor Ausführung
DE68917870T2 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung.
DE4013143C2 (de)
DE10296448T5 (de) Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
DE69119989T2 (de) CVD-Anlage und Verfahren zum Herstellen geglühter Filme
DE3601711A1 (de) Reaktor zum erzeugen von aus daempfen erzeugten niederschlaegen auf einem substrat
DE112012001864T5 (de) Halbleitersubstratbearbeitungssystem
DE19716707A1 (de) Halbleiterkristallscheiben -Wärmebehandlungsvorrichtung
DE3430009C2 (de) Verfahren und Vorrichtung zum Dotieren von Halbleitersubstraten
DE102006044626A1 (de) Aufkohlungsbehandlungsvorrichtung und -verfahren
DE2052221C3 (de) Verfahren zum Erzeugen einer Siliciumoxidschicht auf einem Süiciumsubstrat und Vorrichtung zur Durchführung dieses Verfahrens
DE69114371T2 (de) Verfahren zum Gasphasenabscheiden.
WO2005108643A1 (de) Verfahren und vorrichtung zur niedertemperaturepitaxie auf einer vielzahl von halbleitersubstraten
DE10032213A1 (de) Kondensator für Halbleiterspeicherbauelement und Verfahren zu dessen Herstellung
DE1544245B2 (de) Verfahren zum Dotieren von Halbleiter korpern
DE4010595A1 (de) Verfahren zur bildung eines kristallinen films
DE69931278T2 (de) Vorrichtung und verfahren zur thermischen behandlung von halbleitersubstraten
DE10236896B4 (de) Vorrichtung und Verfahren zum thermischen Behandeln von Halbleiterwafern
DE60108078T2 (de) Heizungsanlage und Verfahren zur Heizung für einen Reaktor
DE2943634C2 (de) Epitaxiereaktor
DE102005052719A1 (de) Photon-verbesserte UV-Behandlung dielektrischer Schichten
DE3787556T2 (de) Verfahren zur Bildung eines abgeschiedenen Filmes.
DE69111540T2 (de) Vorrichtung zum Herstellen einer Schicht im Vacuum.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition