KR100785133B1 - 열처리 시스템 - Google Patents

열처리 시스템 Download PDF

Info

Publication number
KR100785133B1
KR100785133B1 KR1020070065376A KR20070065376A KR100785133B1 KR 100785133 B1 KR100785133 B1 KR 100785133B1 KR 1020070065376 A KR1020070065376 A KR 1020070065376A KR 20070065376 A KR20070065376 A KR 20070065376A KR 100785133 B1 KR100785133 B1 KR 100785133B1
Authority
KR
South Korea
Prior art keywords
gas
temperature
heat treatment
processing
heating chamber
Prior art date
Application number
KR1020070065376A
Other languages
English (en)
Other versions
KR20070073728A (ko
Inventor
다카노부 아사노
가쓰토시 이시이
히로유키 야마모토
조지 호시
가즈토시 미우라
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000162769A external-priority patent/JP3625741B2/ja
Priority claimed from JP2000163002A external-priority patent/JP3516635B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070073728A publication Critical patent/KR20070073728A/ko
Application granted granted Critical
Publication of KR100785133B1 publication Critical patent/KR100785133B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/005Oxydation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

반도체 웨이퍼에 대하여 산화처리를 배치타입의 노(爐)에 의해 실행하는 경우에, 저온 처리를 실행하기 위하여 막두께의 균일성이 향상될 것이 요구된다. 수소가스의 혼합가스와 수증기를 반응용기에 도입하여 이른바 습식 산화를 실행하기 위한 시스템에서, 통기저항체는 외측 연소시스템에서 가스를 가열하기 위한 이중관 유로의 외측 유로에 마련되고, 수소가스와 염화수소의 혼합가스는 통기저항체를 관통하여 연소시스템의 히터에 의해 처리온도 이상으로 가열되고, 미리 미량의 수증기를 생성하여 산화처리를 실행한다. 일산화이질소 가스가 질소를 함유하는 실리콘 산화막을 생성하기 위하여 사용되는 경우에는, 일산화이질소 가스는 외측 유로를 관통하여 미리 활성화된다.
게다가, 처리가스는 처리챔버의 외측에 마련된 가열부에 의해 예열되고, 처리의 균일성을 확보하면서 처리온도를 낮춘다. N2O 가스와 SiH2Cl2 가스는 소정의 진공도로 감압된 반응튜브(102)로 도입되고, 소정의 처리온도에서 웨이퍼의 표면에 얇은 막을 형성한다. 이 때에, N2O 가스도입로에 마련된 가열챔버(151)는 히터소자 (153)에 의하여 가열되고, N2O 가스는 가열챔버(151)를 관통하여 예열되고, 반응튜브(102)로 도입된다. 게다가, 오리피스(106)는 가열챔버(151)와 반응튜브(102)사이의 N2O 가스도입로에 형성된다. 그리하여, 저압처리의 경우에도, 가열챔버(151)의 압력은 오리피스(106)에서의 압력손실로 인하여 반응튜브(102)의 압력보다 높 고, 그 결과 가열챔버(151)에서 처리가스를 효과적으로 예열하여 처리온도를 낮추는 것이 가능하다.

Description

열처리 시스템{HEAT TREATMENT SYSTEM}
도 1은 본 발명에 따른 열처리 시스템의 바람직한 실시예를 나타내는 종단면도이다.
도 2는 도 1의 열처리 시스템에서 사용되는 종(縱)형 열처리 유니트를 나타내는 사시도이다.
도 3은 도 1의 열처리시스템에서 사용되는 연소시스템을 나타내는 단면도이다.
도 4(a) 내지 도 4(b)는 연소 시스템의 모든 처리에서 가스 공급상태를 나타내는 모식도이다.
도 5는 웨이퍼 보트위의 각 위치에서 두께의 분포를 나타내는 모식도이다.
도 6(a) 내지 도 6(c)는 종래의 산화방법에서의 문제점을 설명하기 위한 모식도이다.
도 7은 본 발명에 따른 종(縱)형 열처리시스템의 바람직한 실시예의 일예를 나타내는 종단면도이다.
도 8은 도 7의 종(縱)형 열처리시스템의 일부를 나타내는 사시도이다.
도 9는 도 7의 종(縱)형 열처리시스템에서 사용하기 위한 오리피스와 히터를 나타내는 단면도이다.
도 10(a)와 도 10(b)는 본 발명에 따른 종(縱)형 열처리시스템의 또 다른 바람직한 실시예에서 사용되는 가스챔버를 나타내는 사시도 및 단면도이다.
도 11은 종래의 종(縱)형 열처리시스템을 나타내는 단면도이다.
도 12는 웨이퍼의 위치와 두께간의 관계를 나타내는 특징적 도표이다.
<도면의 주요부분에 대한 부호의 설명>
1 : 종형 열처리유니트 2 : 연소시스템
3 : 종형 열처리 노 4 : 웨이퍼보트
5 : 가스공급관 21 : 내관
22 : 외관 23 : 히터
24 : 단열부재 25 : 전력공급선
26 : 전력제어부 27 : 주제어부
30 : 배기관 31 : 반응튜브
32 : 히터 33 : 균열용 용기
34 : 단열부재 40 : 보트승강기
41 : 상판 42 : 바닥판
43 : 스트러트 44 : 덮개
45 : 보온커버 46 : 턴테이블
47 : 회전축 60 : 통기저항체
61 : 내측 가열챔버 62 : 외측 가열챔버
71 : 제 1 가스공급관 72 : 제 2 가스공급관
102 : 반응튜브 102a : 내관
102b : 외관 103 : 가스도입관
105 : 히터 106 : 오리피스
121 : 매니폴드 123 : 웨이퍼보트
124 : 덮개 126 : 보트승강기
133 : 플랜지 134 : 실링부재
151 : 가열챔버 152 : 통기저항체
153 : 히터소자 156 : 냉각자켓
157 : 냉각수 공급부
본 발명은 일반적으로 피처리체에 대하여 열처리를 하기 위한 열처리 시스템 및 방법에 관한 것이다.
우선, 본 출원에 의한 본원 제 1 발명에 관련된 기술을 아래에 설명한다.
실리콘산화막(SiO2 film)을 형성하기 위하여 각각의 반도체 웨이퍼상에 실리콘막을 산화하는 배치(batch)타입의 노(爐)에 다수개의 반도체 웨이퍼(이하 '웨이퍼'라 약칭한다)를 반입하는 방법으로서, 산소(O2)가스와 염화수소(HCl)가스를 사용하는 건식산화법과, 수증기와 산소가스를 반응튜브에 도입하는 습식산화법이 알려 져 있다. 산화법의 타입은 목적하는 막의 질에 따라서 선택된다.
건식산화법에서는, 실리콘막은 산소가스에 의해 산화되고, 표면의 불순물은 염소의 게터링(gettering)효과에 의해 제거된다. 구체적으로는, 예를 들면, 다수개의 웨이퍼가 보트의 선반위에 유지되어 소정의 온도의 처리분위기를 형성하기 위하여 종(縱)형 열처리 노내에 반입된 후에, 산소가스 및 염소가스는 상온에서 열처리 노(爐)의 천장부로부터 반응튜브내로 공급되고 바닥부로부터 배기된다. 습식산화법에서는, 외부연소시스템이 열처리 노(爐)의 외측에 제공되어야 한다. 외부연소시스템에서는, 산소가스의 일부와 수소가스(H2O)는 연소되어 수증기를 생성하고, 산소가스의 나머지와 수증기는 반응튜브로 공급된다. 상술한 열처리 노에서는, 일산화이질소(N2O)가스는 상온에서 반응용기로 도입되어 웨이퍼의 실리콘층과 반응하여 질소를 포함하는 실리콘 산화막을 생성한다.
한편, 처리온도가 상승할수록 슬립(slip)이라고 하는 결함이 더욱 쉽게 발생한다. 따라서, 아래층에 적층된 막에 대한 열의 영향을 피하고 에너지를 줄이기 위하여 처리온도를 낮추는 것이 연구되어 왔다. 그러나, 처리온도를 낮추면 웨이퍼의 직경의 증가와 함께 웨이퍼 표면의 두께의 균일성이 저하되고, 웨이퍼들 사이 (평판들 사이)의 두께의 변화가 증가된다.
건식산화법에 의해 얻어진 실리콘 산화막의 두께와 보트위의 웨이퍼의 위치와의 관계를 조사한 결과, 웨이퍼가 보트의 상단측면에 위치할 때에 막두께의 균일성은 저하되는 경향이 있다는 사실을 알게되었다. 발명자들은 그 이유를 다음과 같이 추측하였다. 도 6(a), 6(b), 6(c)는 웨이퍼(W)상의 가스의 흐름과 웨이퍼(W)의 온도 및 두께를 개략적으로 나타낸다. 산소가스와 염소가스는 웨이퍼(W)의 주연(周緣)으로부터 그 중앙부로 흐르고, 웨이퍼상의 실리콘은 산소가스에 의해 산화된다. 웨이퍼(W)의 열은 웨이퍼(W)의 주연(周緣)으로부터 복사되기 때문에, 웨이퍼(W)의 온도는 중앙부에서 더 높다. 그리하여, 산화반응은 중앙부에서 촉진되어, 두께의 균일성이 높은 경우에도 원래 중앙부에서의 막두께는 주연부에서의 막두께보다 큰 경향이 있다.
반면에, 염화수소의 분해에 의해 생성된 수소는 산소와 반응하여 미량의 수증기를 생성한다. 가스들은 보트의 상단측에서 충분히 데워지지 않기 때문에, 가스들이 웨이퍼(W)의 주연에서부터 그 중앙부를 향하여 가열될 때 생성된 수증기의 양은 증가된다. 이 수증기는 산화막의 두께를 증가시키는데 사용되므로 생성된 수증기양의 차이는 막두께에 지대한 영향을 미친다. 그 결과 두께의 분포는 웨이퍼(W)의 중앙부에서의 막두께가 큰 이른바 마루(crest)분포가 되어 두께의 균일성은 저하된다. 그리하여, 가스들이 반응튜브의 바닥부로 향할때 그 가스들은 가열되기 때문에 수증기 생성반응은 실질적으로 보트의 하단측에서 평형상태가 되고, 그 결과 웨이퍼(W)를 따라 가스들이 흐르기 전에 수증기는 완전히 생성된다. 그러므로, 처리가스가 웨이퍼(W)의 주연으로부터 그 중앙부를 향하여 흐를 때, 수증기의 양은 웨이퍼(W)의 위치에 상관없이 거의 변하지 않으며, 따라서 막두께의 균일성은 향상된다. 그리하여 보트의 하단측에서는 막두께의 균일성은 낮아서 상단측 및 하단측에서 웨이퍼사이의 막두께의 차이는 증가하게 되는 것으로 생각된다.
또한 일산화이질소를 사용하여 질소를 함유하는 실리콘 산화막을 생성하는 처리에서도, 처리온도가 낮다면 동일한 경향이 나타난다. 즉, 일산화이질소 가스는 분해되어 산소가 실리콘과 반응하여 질소를 함유하는 실리콘 산화막을 생성하고, 일산화이질소의 분해에 의해 생성된 질소의 활성종(active species)은 실리콘 산화막으로 들어가서 질소를 함유하는 실리콘 산화막을 성장시킨다. 처리온도가 낮다면, 웨이퍼(W)의 온도는 웨이퍼의 중앙부에서 더 높고, 일산화이질소는 보트의 상단측에서 충분히 분해되지 않는다. 그러므로, 일산화이질소 가스가 웨이퍼(W)의 중앙부를 향하여 흐를 때, 분해반응은 웨이퍼의 주연에서보다 중앙부에서 더욱 가속화되어 웨이퍼의 중앙부에서의 막두께는 주연에서보다 더 커지는 경향이 있다. 일산화이질소 가스는 반응튜브의 바닥을 향하여 이동할 때 가열되기 때문에, 그의 분해는 보트의 하단측에서 충분히 진행되거나, 또는 비록 충분하지는 않다 하더라도 보트의 상단측에서보다 그 하단측에서 분해가 더 진행된다. 그리하여, 웨이퍼의 주연부와 중앙부사이의 분해 정도의 차이는 작다. 그 결과, 막두께의 평면내의 균일성은 상단측보다도 높다.
그리하여, 이러한 상황에서는, 웨이퍼상의 막두께의 평면내 균일성은 낮고, 웨이퍼간의 균일성도 낮아서, 처리온도를 낮추는 것은 어렵다.
본 출원에 따른 본원 제 1 발명은 이러한 상황에서 만들어졌고, 본원 제 1 발명의 목적은 피처리체에 대하여 산화처리가 행해질 때, 그 처리온도를 낮추는 데에 기여할 수 있고, 산화막의 두께의 높은 균일성을 획득할 수 있는 기술을 제공하고자 함에 있다.
이하 본 출원에 의한 본원 제 2 발명에 관련된 기술에 대하여 설명한다.
반도체 디바이스를 제조하는 처리인 막형성 처리의 하나로서, 화학적 기상증착(CVD)이라는 처리가 있다. 이 기술은 처리가스를 반응튜브에 도입하여 화학적 가스상 반응에 의해 반도체 웨이퍼(이하 '웨이퍼'라 칭한다)의 표면에 얇은 막을 형성하도록 되어있다. 배치(batch)에서 그러한 막형성 처리를 행하기 위한 시스템의 하나로서, 종형 열처리 시스템이 있다. 예를 들면, 도 11에 나타낸 바와 같이, 이 시스템은 원통형 매니폴드(111)에 장착된 종형 반응 튜브(112), 반응튜브를 둘러싸도록 장착된 히터(113), 매니폴드로 연장된 가스도입관(114), 및 매니폴드에 연결된 배기관(115)을 포함하여 구성된다.
그러한 시스템에서는, 다수개의 웨이퍼(W)는 웨이퍼 보트라고 불리는 홀더 선반(116)위에 유지되어 매니폴드(111)의 하단부에 형성된 개구부로부터 반응튜브 (112)로 반입되고, 얇은 막을 형성하기 위하여 처리가스는 가스공급원(117)으로부터 가스도입관(114)을 경유하여 반응튜브(112)내로 도입된다. 이 때에 처리가스는 반응튜브(112)내의 히터(113)에 의하여 가열되어 분해되고, 반응온도 이상으로 더욱 가열되어 소정의 반응을 실행한다. 반응물질은 웨이퍼(W)상에 증착되어 소정의 막을 형성한다.
한편, 그 막이 상술한 시스템에 의하여 웨이퍼(W)위에 형성된다면, 웨이퍼의 중앙부에서의 막두께는 도 12에 나타낸 바와 같이 그 주연부에서의 막두께보다 커지는 경향이 있다. 그 이유는 다음과 같다고 생각된다. 즉, 이른바 배치타입의 노(爐)라고 하는 상술한 종(縱)형 열처리 시스템에서는, 처리가스는 가스도입관 (114)으로부터 반응튜브(112)내로 도입되어 웨이퍼의 주연부로부터 웨이퍼보트 (116)위에 유지된 웨이퍼(W)로 공급되고, 웨이퍼를 따라서 웨이퍼의 주연에서부터 그 중앙부로 흐르며, 그리하여 웨이퍼 중앙부에서 처리가스의 농도는 그 주연부에서 보다 더 커진다.
웨이퍼의 온도를 처리온도로 높이기 위한 처리에서는, 웨이퍼 주연부의 열복사량은 그 중앙부의 열복사량보다 커서, 웨이퍼 중앙부의 온도는 그 주연부의 온도보다 높다. 그리하여, 웨이퍼의 주연부와 중앙부사이의 처리가스의 온도 및 농도의 차이때문에, 증착 반응은 웨이퍼의 주연부보다 처리가스의 온도 및 농도가 더 큰 웨이퍼의 중앙부에서 더 가속화되어, 웨이퍼 중앙부에서의 막두께는 그 주연부에서의 막두께보다 크다고 추측된다.
반면에, 반도체 제조공정에서는, 마지막 단계에서 생성된 막에 미치는 악영향을 방지하기 위하여, 또한 에너지를 줄이기 위하여, 저온의 처리온도가 요구된다. 그러나, 웨이퍼의 중앙부에서 막두께가 증가한다는 상술한 현상은 처리온도가 낮아질 때에 더욱 현저하고, 그 결과 기존의 시스템에서는 저온의 처리온도를 실현시키는 것은 어렵다.
따라서, 본 발명자는 처리가스를 반응 튜브(112)에 도입하기 전에, 반응 튜브(112)의 외측에 마련된 히터(도시하지 않음)에 의하여 처리가스를 소정의 온도까지 예열하고 그 활성화되고 충분히 가열된 처리가스를 반응튜브(112)내로 도입함으로써 반응튜브(112)내에서 처리온도를 낮추기 위한 기술을 연구하였다. 예를 들면, 그 히터는 도입된 처리가스를 가열하기 위한 가열챔버, 상기 가열챔버를 가열 하기 위하여 가열챔버의 외측에 마련된 히터를 포함하여 구성된다. 이 기술에서는, 처리가스는 히터에 의하여 예를 들면 분해 온도에 근접한 온도까지 예열되기 때문에, 처리가스가 웨이퍼의 주연부에 도달할 때에 충분히 활성화된 처리가스가 분해 영역으로 도입되고 반응은 충분히 일어난다. 그리하여, 웨이퍼의 중앙부에서의 반응상태는 웨이퍼의 주연부에서의 반응상태와 같고, 그 결과 반응 튜브(112)내의 처리온도가 낮다고 하더라도 막두께의 높은 균일성을 제공하는 것이 가능하게 된다.
그러나, 처리를 실행하기 위하여 반응 튜브(112)내의 압력을 감축하는 저압의 화학적 기상 증착(CVD)공정에서는, 히터의 압력 또한 감소한다. 히터내의 압력이 예를 들면 약 200 토르(Torr)로 감압되면 대류가 일어나기 어렵다. 그리하여, 열은 히터의 내부로 전달되지 않고, 처리가스에 대한 열전달 효율은 나빠져서, 처리가스가 충분히 활성화되는 온도까지 처리가스를 가열하는 것이 어렵게 된다.
본 출원에 따른 본원 제 2 발명은 그러한 상황에서 만들어졌고, 본원 제 2 발명의 목적은 예를 들면, 피처리체에 얇은 막이 형성될 때, 가열부에 의하여 예열된 처리가스를 반응용기에 공급함으로써 형성막 두께의 높은 균일성을 획득하고, 처리온도를 낮추는 데 기여하고자 함에 있다.
본원 제 1발명의 목적은 피처리체에 대하여 산화처리가 행해질 때, 그 처리온도를 낮추는 데에 기여할 수 있고, 산화막의 두께의 높은 균일성을 획득할 수 있는 기술을 제공하고자 함에 있다.
본원 제 2 발명의 목적은 예를 들면, 피처리체에 얇은 막이 형성될 때, 가열부에 의하여 예열된 처리가스를 반응용기에 공급함으로써 형성막 두께의 높은 균일성을 획득하고, 처리온도를 낮추는 데 기여하고자 함에 있다.
본원 발명의 일측면에 따르면, 피처리체가 반입되고 소정의 온도에서 열처리 분위기가 형성되는 반응용기와, 반응용기의 외측에 마련된 연소시스템을 포함하여 구성되고, 상기 연소 시스템은 각각 제 1 가스유로와 제 2 가스유로를 통과한 수소가스 및 산소가스가 가열수단에 의하여 가열되고, 연소챔버에 도입되어 연소챔버에서 연소되어 수증기를 생성하도록 구성되며, 상기 가스는 연소챔버로부터 반응용기내로 도입되어 피처리체의 실리콘층에 대하여 산화처리를 실행하여 그 층상에 산화막을 형성하는 열처리시스템으로서,
상기 열처리시스템은, 수증기를 사용하는 산화처리 이외의 처리를 피처리체에 대하여 실행하기 위하여 사용되는 하나 이상의 종류의 처리가스를 제 1 가스유로 및 제 2 가스유로의 한 쪽에 공급하기 위한 수단과;
가스의 가열 효율을 향상시키기 위하여, 상기 하나 이상의 종류의 가스가 통과하는 한 쪽의 가스유로에 있어서의, 가열수단에 의하여 가열된 영역에 마련된 통기저항체를 포함하여 구성되며,
상기 가열수단은, 수증기를 사용하는 산화처리 이외의 처리가 처리가스에 의하여 피처리체에 대하여 실행될 때, 상기 처리가스가 반응하게 되거나 활성화되는 일정온도까지 상기 처리가스를 가열하기 위하여 사용되는 것을 특징으로 하는 열처 리시스템이 제공된다.
이 열처리시스템은 반응용기에서 실행되는 열처리에 따라서 가열수단의 가열온도를 제어하기 위한 제어부를 더욱 포함하여 구성될 수도 있다. 예를 들면, 반응용기는 종(縱)형 열처리 노(爐)의 반응 튜브에 해당한다. 수증기를 사용하는 산화처리 이외의 처리의 한 예로서, 예를 들면 염화수소 가스나 디클로로에틸렌 가스와 같은 수소와 염소를 포함하는 화합물 가스 및 산소가스를 제 2 가스유로에 통과시켜서 가열수단에 의하여 처리가스를 가열하여 미량의 수증기를 생성하고, 그 미량의 수증기를 포함하는 처리가스를 열처리 노(爐)내에 공급하여 피처리체에 대하여 산화처리를 실행하는 처리가 있다. 이 경우에, 수소와 염소를 포함하는 화합물가스 및 산소를 함유하는 처리가스가 가열수단에 의하여 가열되는 온도는 반응용기 내에서 피처리체를 처리하기 위하여 처리가스가 사용되는 온도보다 높은 것이 바람직하다. 이 경우에, 처리가스가 반응용기내로 들어갈 때 미량의 수증기는 이미 생성되었기 때문에, 피처리체의 중앙부와 주연부상의 수증기의 양은 그리 상이하지 않다. 그러므로, 수증기에 기인한 두께 증가 영향의 정도의 차이는 감소하며, 그 결과 평면내 균일성은 향상된다. 게다가, 연소시스템은 처리가스를 가열하는데 이용되며, 이는 비용과 공간의 효율성에 유리하다.
수증기를 사용하는 산화처리 이외의 처리의 다른 예로서, 일산화이질소 가스를 제 1 또는 제 2 가스유로를 통과시켜서 가열수단에 의하여 일산화이질소 가스를 가열하고 활성화시키고, 활성화된 일산화이질소 가스를 반응 용기내로 공급하여 피처리체위에 질소를 포함하는 실리콘 산화막을 형성하는 처리가 있다. 이 경우에, 일산화이질소 가스가 가열수단에 의하여 가열되는 온도는, 피처리체를 처리하기 위한 열처리 노(爐)에서 일산화이질소 가스가 사용되는 온도보다 높은 것이 바람직하다. 이 경우에, 일산화이질소 가스는 그 전에 이미 활성화되었기 때문에, 가스가 피처리체의 표면을 따라 흐를 때, 장소의 차이에 기인한 활성화 정도의 차이는 거의 없거나 아주 작으며, 그 결과 두께의 평면내 균일성은 향상된다.
본원 2 발명의 다른 측면에 따르면, 진공 배기 수단에 의하여 소정의 진공도로 감압된 반응용기내로 피처리체가 반입되고, 그 반응용기 내부는 소정의 처리온도로 가열되며, 처리가스는 가스도입로를 경유하여 반응용기내로 공급되어 피처리체를 처리하는 열처리시스템으로서,
상기 열처리시스템은, 처리가스가 반응용기로 공급되기 전에 상기 처리가스를 소정의 온도로 가열하기 위한, 가스도입로에 마련된 가열부와,
가열부와 반응용기사이의 가스도입로에 형성된 오리피스를 포함하여 구성되며,
가열부의 압력은 오리피스에서의 압력손실로 인하여 반응용기내의 압력보다 높고,
처리가스는 가스도입로를 경유하여 가열부로 공급되고, 소정의 온도로 처리가스를 예열하고, 예열된 처리가스를 반응용기로 공급하는 것을 특징으로 하는 열처리시스템이다. 가열부는 처리가스를 가열하기 위한 가열 챔버와, 가열챔버를 가열하기 위하여 가열챔버를 둘러싸도록 마련된 히터부를 포함하여 구성될 수도 있다.
이러한 열처리 시스템에서는,
반응용기의 외측에 마련된 가열부로 처리가스를 공급하여 처리가스를 예열하는 단계;
예열된 처리가스를 반응용기내로 도입하는 단계로 이루어지는 열처리 방법에 있어서,
가열부와 반응용기사이에 마련된 가스도입로에 형성되고 가스도입로의 내경보다 더 작은 내경을 가지는 오리피스에서의 압력손실에 기인하여 가열부의 압력이 반응용기내의 압력보다 높을 때 상기 처리가스의 예열단계가 실행되는 것을 특징으로 하는 열처리시스템이 실행된다.
그리하여, 저압의 처리가 반응용기내에서 실행되더라도, 오리피스에서의 압력손실로 인하여 가열부에서의 감압의 정도는 반응용기내의 감압의 정도보다 작다. 그리하여, 대류는 가열부에서 충분히 일어나고, 처리가스의 부분압은 증가하여, 그 결과 가열부는 그 내부로 충분히 가열되어 처리가스의 가열효율을 개선하게 된다. 그리하여, 처리가스는 가열부에서 소정의 온도로, 예를 들면 처리가스가 분해될 정도로 처리가스가 활성화되는 온도로 예열될 수 있기 때문에, 처리온도는 반응용기내에서 낮추어 질 수 있고, 그러한 낮은 온도의 처리에서도 형성된 막의 두께의 높은 균일성은 확보될 수 있다.
본원 발명의 또 다른 측면에 따르면,
피처리체가 반응용기내로 반입되고, 반응용기의 내부는 소정의 처리온도로 가열되며, 처리기체는 가스도입로를 경유하여 반응용기내로 공급되어 피처리체를 처리하는 열처리시스템으로서,
상기 열처리 시스템은 처리가스가 반응용기로 공급되기 전에 소정의 온도로 처리가스를 가열하기 위하여 가스도입로에 마련된 가열부를 포함하는 열처리 시스템에 있어서,
반응용기와 가열부 사이에 배치된 가스도입로는 내관과 내관으로부터 공간을 형성하여 마련된 외관으로 이루어지는 이중관을 포함하여 구성되며,
상기 처리가스는 가스도입로를 경유하여 가열부내로 공급되고, 소정의 온도로 예열되어 가스도입로를 경유하여 반응용기로 공급되는 것을 특징으로 하는 열처리시스템이다.
이러한 열처리 시스템에서는, 가열부와 반응용기사이에 마련된 가스도입관은 이중관을 포함하여 구성되고, 상기 예열된 처리가스는 이중관의 내관을 경유하여 반응용기로 공급된다. 따라서, 이중관을 통과하는 처리가스의 방열을 억제할 수 있고, 고온이 유지된 채로 처리가스를 반응용기로 도입하는 것이 가능하다.
가스도입로의 이중관의 외관은 굴곡되어 실링부재를 경유하여 반응용기에 접속되는 플랜지를 형성할 수도 있다. 이 경우에, 외관의 온도는 내관의 온도보다 낮기 때문에, 열에 기인하여 실링부재가, 예를 들면 수지가 열화되지 않고서 반응용기에 접속될 수 있다.
본원 발명의 또 다른 측면에 따르면, 피처리체는 진공배기 수단에 의하여 소정의 진공도로 감압되고 그 내부가 소정의 처리온도로 가열되는 반응용기로 반입되고, 처리가스는 가스도입로를 경유하여 반응용기내로 공급되어 피처리체를 처리하 는 열처리 시스템에 있어서,
상기 열처리시스템은 처리가스가 통과하는 가스도입로에 마련된 가스챔버와;
상기 가스챔버를 처리가스의 배기 방향으로 복수의 구획으로 분할하는 간벽과;
상기 간벽에 형성되고 가스도입로의 내경보다 더 작은 내경을 가지는 배기구멍과;
상기 분할된 복수의 구획의 상류측인 가열챔버를 가열하기 위하여 가열챔버를 둘러싸도록 마련된 히터부를 포함하여 구성되며,
가열챔버의 압력이 간벽에 형성된 배기구멍에서의 압력손실로 인하여 반응용기에서의 압력보다 높을 때, 처리가스가 가스도입로를 경유하여 가열챔버로 공급되고 소정의 온도로 예열되어 상기 예열된 처리가스를 반응용기에 공급하는 것을 특징으로 하는 열처리 시스템이다.
또한, 그러한 구조에서는 가열챔버에서의 감압의 정도는 배기구멍에서의 압력손실로 인하여 반응용기에서의 감압의 정도보다 작고, 그 결과 가열챔버에서 처리가스의 가열효율은 향상된다.
바람직하게는, 통기저항체는 가열챔버에 마련되고, 상기 통기저항체는 처리가스와 접촉하여 처리가스를 소정의 온도로 예열한다. 이 경우에, 처리가스의 가열효율은 더욱 향상된다.
본 발명은 이하 설명할 상세한 설명과 본 발명의 바람직한 실시예의 첨부도면으로부터 보다 잘 이해될 것이다. 그러나, 도면은 본 발명을 상세한 실시예로 한정하는 것은 아니며, 단지 이해와 설명을 위한 것이다.
이하 본원 제 1 발명의 바람직한 실시예를 첨부도면, 특히 도 1 내지 도 5를 참조하여 설명한다.
도 1은 본 발명에 따른 열처리 시스템의 바람직한 실시예를 나타낸다. 이 열처리 시스템은 수소가스와 산소가스를 연소하여 수증기를 생성하고, 생성된 수증기를 사용하여 웨이퍼에 산화처리를 실행하는 이른바 습식 산화처리를 실행하는 시스템으로서, 산소가스와 염화수소가스를 사용하는 이른바 건식 산화처리를 실행하는 시스템으로서, 그리고 일산화이질소 가스를 사용하여 처리를 실행하는 시스템으로서 사용된다. 상기 열처리 시스템은 종(縱)형 열처리 유니트(1) 및 연소시스템 (2)를 포함하여 구성된다.
종(縱)형 열처리 유니트(1)의 구조에 대하여 설명하겠다. 도 1 및 도 2에서 나타낸 바와 같이, 이 유니트(1)는 종(縱)형 열처리 노(3), 유지기로서 작용하는 웨이퍼보트(4), 웨이퍼보트(4)를 수직으로 이동시키는 보트승강기(40), 및 열처리 노(3)에 연결된 가스공급관(5)과 배기관(30)을 포함하여 구성된다.
종형 열처리 노는, 예를 들면 석영(quartz)으로 된 반응용기인 종형 반응튜브(31)와, 상기 반응튜브(31)를 둘러싸도록 마련된 저항발열체 등으로 된 가열수단인 히터(32), 및 상기 반응튜브(31)와 상기 히터(32)사이의 단열부재(34)에 지지되는 균열(均熱)용 용기(33)를 포함하여 구성된다. 상기 반응튜브(31)의 바닥단부는 개방되고, 상기 반응튜브(31)에는 그 상면(31a)의 바로 아래에 다수개의 가스홀 (31b)을 가지는 가스확산판(31c)이 마련된다. 상기 가스공급관(5)은 단열부재(34) 를 외측으로부터 관통하고, 단열부재(34)의 내측에서 L자 형으로 굴곡되어 상기 반응튜브(31)와 상기 균열용 용기(33)사이에 수직으로 연장되어, 상기 가스확산판 (31c)과 상기 반응튜브(31)의 상면(31a)사이의 공간으로 돌입한다.
예를 들면, 도 2에 나타낸 바와 같이, 웨이퍼보트(4)에는 상판(41)과 바닥판 (42)사이에 복수개의 스트러트(43)가 마련되고, 상기 스트러트(43)에는 각각의 웨이퍼(W)의 주연부를 삽입하고 지지하기 위하여 수직방향으로 일정한 간격을 가지는 홈이 형성되어 있다. 상기 웨이퍼보트(4)는 반응튜브의 하단부에 형성된 개구부 (35)를 개폐하는 덮개(44)위에 보온부, 예를 들면 보온커버(45)를 경유하여 장착된다. 상기 보온커버(45)는 턴테이블(46)에 장착되어 보트승강기(40)에 마련된 구동부(M)에 의하여 회전축(47)을 경유하여 회전할 수 있다. 상기 덮개(44)는 보트승강기(40)에 마련되어 상기 웨이퍼보트(4)는 보트승강기(40)의 수직운동에 의하여 열처리 노(3)로 반입, 반출된다.
도 1에 나타낸 바와 같이, 연소시스템(2)은 종형 열처리 유니트(1)의 외측에서 가스공급관(5)의 도중에 마련된다. 도 3에 나타낸 바와 같이, 연소시스템은 예를 들면 투명 석영으로 만들어진 내관(21) 및 외관(22)을 포함하여 구성되는 동심 이중 구조부(6)를 갖는다. 이하 내관(21)의 내측영역에 형성된 가열챔버는 내측 가열챔버(61)로, 외관(22)의 내측영역에 형성된 가열챔버는 외측 가열챔버(62)로 호칭한다. 내측 가열챔버(61)는 그 자체로서 연장된 상태에서 제 1 가스공급관 (71)과 연통된다.
외측 가열챔버(62)는 그 상류측이 좁고 그 좁은 부분으로부터 그에 대하여 직각인 방향으로 연장되는 제 2 가스공급관(72)과 연통된다. 게다가, 제 1 가스공급관(71)으로부터 내측 가열챔버(61)로 연장된 가스유로와, 제 2 가스공급관(72)으로부터 외측 가열챔버(62)로 연장된 가스유로는 각각 특허청구범위에 기재된 제 1 및 제 2 가스유로에 해당한다.
외측 가열챔버(62)의 외주부에는 가열수단, 예를 들면 카본 와이어 히터(23)가 나선형상으로 마련된다. 히터(23)를 커버하도록 원통형의 단열부재(24)가 마련된다. 예를 들면, 카본 와이어 히터(23)는, 석영튜브 내에서 복수개의 탄소섬유 다발을 편성함으로써 형성되는 실 형상의 부재를 나선형으로 충진함으로써 형성된다. 카본 와이어 히터(23)가 전력공급선(25)에 의해 전력을 공급받을 때 카본 와이어 히터는 열을 발생한다. 전력공급선(25)은 전력제어부(26)에 접속된다. 예를 들면, 전력제어부(26)는 주제어부(27)에 의해 지정된 설정 가열온도에 해당하는 신호와, 히터(23)의 근방에 마련되고 예를 들면 열전대를 포함하여 구성되는 온도 센서(28)로부터의 온도 검출 신호에 기초하여 카본 와이어 히터(23)에 흐르는 전력량을 제어한다.
외측 가열챔버(62)는 예를 들면 다수의 투명 석영 글라스 비드로 만들어진 통기저항체(60)로 충진된다. 통기저항체를 제공함으로써, 가스체류시간은 증가하고, 통기저항체가 가열되어, 그 결과 가열된 통기저항체와 접촉하는 동안에 가스는 효율적으로 가열된다. 게다가, 통기저항체(60)는 이에 한정되어서는 아니되며, 다수의 통기구멍을 가지는 통기판은 유로를 차단하도록 유로방향으로 간격을 두고 배치될 수 있다.
내측 가열챔버(61) 및 외측 가열챔버(62)는 하류측의 연소챔버(63)과 연통되어 있다. 처리가스로서 수소가스와 산소가스를 사용하는 습식 산화가 실행될 때, 수소가스와 산소가스는 연소챔버(63)에서 연소반응하여 수증기를 생성한다.
연소시스템(2)의 하류측에 대하여 설명하겠다. 도 1에 나타낸 바와 같이, 제 1 가스공급관(71)은 수소가스원(81)에 접속되고, 밸브(V1) 및 유량조정부로서 작용하는 매스 플로우 컨트롤러(MF1)가 그 사이에 마련되어 있다. 제 2 가스공급관(72)은 예를 들면 3 방향으로 분기되어 산소 가스원(82a), 일산화이질소 가스원 (82b), 염화수소 가스원(82c)에 접속되고, 밸브들(Va, Vb, Vc) 및 매스 플로우 컨트롤러(MFa, MFb, MFc)는 각각 그 사이에 마련된다.
상술한 바람직한 실시예의 작용에 대하여 설명한다. 본 실시예에서는, 피처리체이며 그 표면부에 실리콘층이 이미 형성되어 있는 웨이퍼에 대하여 습식 산화처리, 건식 산화처리, 및 일산화이질소 가스를 이용한 처리가 실행된다. 두께의 균일성을 향상시킬 것을 목적으로 하는 건식 산화처리와 일산화이질소 가스를 사용하는 처리에 대하여 먼저 설명한다.
(건식 산화처리)
다수의, 예를 들면 60 개의 피처리체인 웨이퍼(W)는 웨이퍼보트(4)의 선반위에 유지되어 히터(32)에 의해 소정의 온도로 이미 예열된 반응튜브(31)내로 반입되고, 노의 입구인 개구부(35)는 덮개(44)에 의하여 기밀하게 폐쇄된다(도 1에 나타낸 상태). 계속해서, 반응튜브(31)내의 온도는 소정의 온도, 예를 들면 900℃까지 상승된다. 웨이퍼(W)를 반응튜브(31)로 반입하는 단계와, 반응튜브(31)내의 온도 를 상승시키는 단계에서, 예를 들면 극소량의 산소가스를 포함하는 질소가스는 가스공급관(도시하지 않음)으로부터 반응튜브(31)로 공급되고, 반응튜브(31)의 온도가 처리온도에 달하면 가스의 공급은 중단된다. 그리고 나서, 반응튜브(31)내의 가스는 배기수단(도시하지 않음)에 의하여 배기관(30)으로부터 배기되며, 그 결과 반응튜브(31)내의 상태는 아주 조금 감압된 상태이다. 이러한 상태에서, 웨이퍼 (W)의 온도는 안정되고, 산화처리는 실행된다.
한편, 연소시스템(2)에서는, 주 제어부(27)에 의하여 선택된 건식 산화처리에 따라서, 대응하는 온도설정신호가 전력 제어부(26)에 보내어지고, 가열챔버 (61,62)에서의 온도는 설정온도 예를 들면, 1000℃가 되도록 카본 와이어 히터(23)는 제어된다. 그리고 나서, 밸브(Va, Vb, Vc)는 개방되어 매스 플로우 컨트롤러 (MFa, MFb)가 산소가스 및 염화수소가스의 유동율을 소정의 유동율로 예를 들면, 각각 10 slm 및 0.3 slm으로 제어할 때에 산소가스 및 염화수소가스가 연소시스템 (2)으로 흘러 들어가게 한다. 이 가스들은 외측 가열챔버(62)에서 통기저항체와 접촉할 때 통기저항체(60)를 관통하여 연소시스템(2)으로 흘러 들어가고, 그 결과 가스들은 관통하여 지나갈 때 약 1000℃로 가열된다. 그리하여, 도 4(a)에 나타낸 바와 같이, 산소가스와 염화수소가스는 다음 식에 나타낸 바와 같이 반응하여 미량의, 예를 들면 수백 ppm의 수증기를 생성한다. 이 처리에서는, 내측 가열챔버 (61)는 사용되지 않는다.
2HCl → H2 + Cl2
H2 + 1/2O2 → H2O
그렇게 가열된 처리가스는 가스공급관(5)를 경유하여 열처리 노(3)내로 들어가고, 균열관(33)을 관통하여 지나갈 때 가열되므로 상승하여 반응튜브(31)의 상부로 흘러 들어가게 된다. 이 처리가스는 가스홀(31b)로부터 반응튜브(31)의 처리영역으로 더욱 공급되어 하부의 배기관(30)으로부터 배기된다. 이 때에, 처리가스는 선반의 형태로 적층된 웨이퍼(W)들 사이의 공간으로 들어가고, 염소가스에 의하여 각 웨이퍼의 표면의 게터링이 실행될 때 각 웨이퍼의 표면부상의 실리콘층은 산화되고, 그 결과 실리콘 산화막은 생성된다. 상술한 바와 같이, 처리가스는 산화막의 두께를 증가시키는 미량의 수증기를 포함한다.
(일산화이질소가스를 사용하는 처리)
다수의 웨이퍼는 웨이퍼보트(4)에 의하여 반응튜브(31)로 반입되고, 반응튜브(31)내의 온도는 소정의 처리온도, 예를 들면 900℃로 상승되어 상술한 바와 같은 방식으로 안정화된다. 한편, 연소시스템(2)에서는, 주제어부(27)에 의하여 선택된 일산화이질소가스를 사용하는 처리의 선택에 따라서, 대응하는 온도설정신호가 전력 제어부(26)으로 보내지고, 가열챔버(61,62)의 온도가 설정온도, 예를 들면 900℃ 내지 1000℃가 되도록 카본 와이어 히터(23)는 제어된다. 그리고 나서, 밸브(Vc)는 개방되어 플로우 컨트롤러(MFc)가 일산화이질소가스의 유동율을 소정의 유동율로 예를 들면, 1 내지 10 slm으로 제어할 때에 일산화이질소가스가 연소시스템(2)으로 흘러 들어가게 한다. 그리하여, 일산화이질소가스는 외측 가열챔버(62) 에서 통기저항체와 접촉할 때 통기저항체(60)를 관통하여 연소시스템(2)으로 흘러 들어가고, 그 결과 상기 가스는 관통하여 지나갈 때 약 설정온도로 가열된다. 그리하여, 일산화이질소가스는 분해되지 않는다 하더라도, 그 가스는 반응튜브(31)로 흘러 들어간 후 즉시 분해되는 정도로 활성화된다. 이 상태를 도 4(b)에 나타내었고, 도 4(b)에서 N2O*는 N2O의 활성화된 상태를 나타낸다. 또한 이 처리에서, 내측 가열챔버(61)는 사용되지 않는다.
그렇게 활성화된 일산화이질소는 반응튜브(31)로 들어가고 웨이퍼(W)의 실리콘층을 산화 및 질화하여, 질소를 함유하는 실리콘 산화막을 생성한다.
(습식 산화처리)
다수의 웨이퍼는 웨이퍼보트(4)에 의하여 반응튜브(31)로 반입되고, 반응튜브(31)내의 온도는 소정의 처리온도, 예를 들면 900℃로 상승되어 상술한 바와 같은 방식으로 안정화된다. 한편, 연소시스템(2)에서는, 주 제어부(27)에 의하여 선택된 습식 산화처리에 따라서, 대응하는 온도설정신호가 전력 제어부(26)에 보내어지고, 가열챔버(61,62)에서의 온도는 설정온도 예를 들면, 900℃ 내지 950℃가 되도록 카본 와이어 히터(23)는 제어된다. 그리고 나서, 밸브(V1, Va)는 개방되어 매스 플로우 컨트롤러(MF1, MFa)가 산소가스 및 수소가스의 유동율을 소정의 유동율로 예를 들면, 각각 3 내지 10 slm 및 3 내지 10 slm으로 제어할 때에 산소가스 및 수소가스가 연소시스템(2)으로 흘러 들어가게 한다. 결과적으로, 수소가스와 산소가스는 각각 내측 가열챔버(61)와 외측 가열챔버(62)에서 가열되고, 산소가스 의 일부와 수소가스 사이의 연소반응은 연소챔버(63)에서 발생하여 수증기를 생성한다. 도 4(c)는 이 상태를 나타내는 모식도이다. 그리하여, 산소가스와 수증기를 포함하는 처리가스는 열처리 노(3)내로 흘러 들어가고 선반의 형태로 적층된 웨이퍼들 사이의 공간으로 들어가서, 그 결과 각 웨이퍼의 표면부상의 실리콘층은 산소가스 및 수증기에 의해 산화되어 실리콘 산화막을 생성한다.
이러한 바람직한 실시예에 따르면, 건식 산화처리에 의하여 제조된 실리콘 산화막의 균일성과 일산화이질소 막에 의하여 제조된 질소를 함유하는 실리콘 산화막의 균일성은 웨이퍼의 표면상에서 높고, 웨이퍼들 사이의 막두께의 균일성 또한 높다. 그 이유는 다음과 같다고 생각된다.
우선, 건식 산화처리의 경우에, 처리가스(산소가스와 염화수소가스의 혼합가스)는 연소시스템(2)에서 예를 들면 약 1000℃로 가열되어 미량의 수증기를 생성한다. 온도가 낮아지더라도 일단 생성된 수증기의 양은 감소하지 않기 때문에, 2차측의 가스공급관(5)를 관통할 때 수증기가 약간 냉각되고 수증기가 반응튜브(31)내의 처리온도보다 높은 온도에서 생성되었다 하더라도, 처리가스는 반응튜브(31)에서 추가적인 수증기를 생성하지 않는다.
그러므로, 처리가스가 웨이퍼보트(4)위에 적층된 웨이퍼(W)들 사이의 공간으로 들어갈 때, 수증기는 완전히 생성되어 있고, 그 결과 웨이퍼의 주연부로부터 그 중앙부로 흐르는 처리가스에 함유된 수증기의 양은 실질적으로 어느 위치에서나 같다. 결과적으로, 평판에서 수증기에 기인한 두께증가 효과의 정도는 또한 실질적으로 웨이퍼보트(4)의 상단에 배치된 웨이퍼(W)에서와 실질적으로 동일하고, 그 결 과 두께의 평면내 균일성은 증가한다. 종래에는, 수증기의 생성은 웨이퍼보트(4)의 하단을 향할수록 증가하기 때문에, 두께의 균일성은 상단측에서는 나쁘고 하단측에서는 보다 높다. 본 바람직한 실시예에서는, 하단측의 가스분위기는 상단측에서도 만들어질 수 있고, 그 결과 웨이퍼들 사이의 두께 분포의 변화는 감소한다. 즉, 평판들 사이의 두께의 균일성은 증가한다. 도 5는 처리가스가 가열되지 않을 때와 가열될 때의 상단, 중단, 하단에서의 두께 분포를 개략적으로 나타낸다. 예를 들면, 상술한 실시예의 처리조건에 맞추어 실험을 실행하였다. 종래에는(연소시스템의 히터를 작동하지 않은 때), 상단측의 웨이퍼의 두께의 평면내 균일성은 약 ±3% 이었다. 그러나, 연소시스템(2)의 히터(23)가 작동될 때에는, 상기 평면내 균일성은 약 ±1%이었다.
게다가, 엄밀히 말하자면, 수증기는 두께의 증가에 기여하고, 그 결과 웨이퍼의 중앙부를 향하여 두께는 약간 감소한다. 그러나, 「발명이 속하는 기술분야 및 그 분야의 종래기술」에서 기술한 바와 같이, 웨이퍼 중앙부의 온도는 그 주연부의 온도보다 높고, 그 결과 중앙부의 두께는 본래 커지는 경향이 있다. 따라서, 주연부의 막두께의 증가의 정도가 크기 때문에, 주연부의 두께가 증가되어 두께의 균일성을 향상시키는 것이라고 말할 수 있다.
일산화이질소 가스가 사용될 때에는, 가스는 연소시스템(2)에서 분해되기 직전의 상태로 활성화된다. 따라서, 상기 가스가 반응튜브(31)로 들어가서 웨이퍼보트(4)의 상단측에 도달할 때에, 분해는 상당히 진척된다. 그리하여, 가스가 웨이퍼의 주연부로부터 그 중앙부로 향하여 흐를 때, 웨이퍼의 중앙부와 주연부에서 의 분해의 정도는 거의 상이하지 않으며, 그 결과 일산화이질소의 분해에 의하여 생성된 활성종의 양은 어느 위치에서나 완전히 동일하거나, 실질적으로 동일하다. 결과적으로, 웨이퍼보트(4)의 상단에 위치한 웨이퍼(W)상에서의 두께의 평면내 균일성은 향상된다. 따라서, 상단측에서의 웨이퍼(W)와 하단측에서의 웨이퍼(W)사이에서의 평면내 균일성의 변화는 작고, 평판들 사이의 두께의 균일성은 향상된다.
건식 산화처리에서 수증기가 반응튜브(31)내에서 생성된다는 현상, 및 일산화이질소를 사용하는 처리에서 일산화이질소 가스가 반응튜브(31)에서 분해된다는 현상은 두께의 평면내 균일성 및 저온에서의 평판들사이의 두께의 균일성에 더욱 큰 영향을 미친다. 따라서, 본 실시예에 따르면, 저온에서의 열처리에 크게 기여하는 것이 가능하다.
게다가, 습식 산화처리를 실행하는데 사용된 연소시스템은 건식 산화처리 및 일산화이질소가스를 사용하는 처리에서 처리가스를 가열시키기 위하여 사용되기 때문에, 전용히터를 추가적으로 마련할 필요가 없으며, 이는 비용적인 측면이나 공간적인 효율성에서 유리하다.
건식 산화처리에 사용되는 가스로는 염화수소가스에만 한정되지는 않고, 디클로로에틸렌(C2H2Cl2, 트랜스-1,2디클로로에틸렌)도 사용될 수 있으며, 또는 이 가스들이 혼합될 수도 있다. 상술한 설명에서는, 습식 산화처리 이외의 처리가 실행될 때에는 처리가스는 외측 가열챔버(62)를 사용하여 가열된다. 본 발명에 따르면, 내측 가열챔버(61)가 사용될 수도 있으며, 양자의 가열챔버(61,62)가 사용될 수도 있다. 본 발명에 따르면, 양 가열챔버(61,62)와는 별도로 예를 들면, 히터 (23)의 외측에 제 1 및 제 2 가스유로에 더하여 또 다른 가스유로가 형성되어, 처리가스가 가스유로를 관통할 때에 히터(23)에 의하여 처리가스를 가열할 수도 있다.
상술한 바와 같이, 본원 제 1 발명에 따르면, 피처리체에 대하여 산화처리가 실행될 때에, 산화막의 두께의 높은 균일성을 획득하는 것이 가능하고, 또한 처리온도를 낮추는 데에 기여할 수 있다. 게다가, 습식 산화처리용 연소시스템은 처리가스를 가열시키는 데에 사용되기 때문에, 전용히터가 마련되는 경우에 비하여 비용적인 측면이나 공간 효율성에도 보다 유리하다.
도 7 내지 도 11을 참조하여 본원 제 2 발명의 바람직한 실시예에 대하여 설명하겠다.
우선, 본 발명에 의한 방법을 실행하기 위한 종형 열처리 시스템의 일예를 설명하겠다. 도 7에서는, 참조번호 102는 예를 들면 석영으로 만들어진 내관(102a) 및 외관(102b)으로 이루어지는 이중관 구조를 가지는 반응튜브를 표시한다. 반응튜브(102)는 하부측에 금속제의 원통형 매니폴드가 마련된다.
내관(102a)의 상단은 개방되고, 매니폴드(121)의 내측에 지지된다. 외관의 상단은 폐쇄되고, 그 하단은 매니폴드(121)의 상단에 기밀하게 접속된다. 이 실시예에서는, 외관(102b)과 매니폴드(121)는 반응용기의 일부를 구성한다. 참조번호 122는 베이스 플레이트를 표시한다.
예를 들면, 도 8에 나타낸 바와 같이, 반응튜브(102)에서, 다수의 예를 들면 약 60개의 피처리체인 웨이퍼(W)는 홀더로서 기능하는 웨이퍼보트(123)의 선반위에 수평으로 장착되어 수직방향으로 간격을 두고 배치된다. 웨이퍼 보트(123)는 보온 커버(단열부재)(125)를 통하여 덮개(124)위에 지지된다. 덮개(124)는 웨이퍼 보트(123)를 반응튜브(102)로 반입·반출하기 위하여 마련된 보트 승강기(126)위에 장착된다. 덮개(124)가 상한(上限)위치에 위치할 때, 덮개(124)는 매니폴드(121)의 하단 개구부, 즉 반응튜브(102)와 매니폴드(121)로 구성된 반응용기의 하단 개구부를 폐쇄하도록 작용한다. 게다가, 도 8에서, 참조번호 127은 웨이퍼(W)를 웨이퍼보트(123)로 전달하는 트랜스퍼 아암을 표시한다.
반응튜브(102)의 주연부의 주위에는, 가열수단인 히터(128)가 반응튜브(102)를 둘러싸도록 마련된다. 상기 히터(128)는, 예를 들면 발열저항체를 포함하여 구성되고, 히터(128)의 온도는 분해처리를 위하여 미리 입력된 온도 프로파일에 기초하여 제어부에 의해 제어된다.
상기 매니폴드(121)에는 제 1 처리가스인 일산화이질소를 반응튜브(102)로 공급하기 위한 가스도입로인 복수의 제 1 가스도입관(103), 및 제 2 처리가스인 디클로로실란(SiH2Cl2)을 반응튜브로 공급하기 위한 제 2 가스도입관(104)이 마련되고, 제 1 및 제 2 가스도입관(103,104)은 원주방향으로 간격을 두고 배치된다. 상기 각각의 가스들은 가스도입관(103,104)을 통하여 상기 시스템의 외측에 마련된 제 1 및 제 2 가스공급원(131,141)으로부터 반응튜브(102)내로 공급된다.
제 1 및 제 2 가스도입관(103,141)에는, 상기 가스들의 유량율을 제어하기 위한 가스유량제어부(132,142)가 각각 마련된다. 가스유량제어부(133,143)는 가스유량조정기 와 밸브를 나타낸다. 제어부로부터의 제어신호에 응답하여, 분해처리동안에 미리 입력된 처리가스 도입프로그램에 기초하여 개폐시간이 제어되고, 그 결과 처리가스 도입시간이 제어된다. 매니폴드(121)는 내관(102a)과 외관(102b)사이에 개방된 배기관에 접속되어 반응튜브(102)의 내부는 배기수단(도시하지 않음)에 의하여 소정의 감압 분위기에서 유지될 수 있다.
이어서, 제 1 처리가스인 일산화이질소 가스를 공급하기 위한 시스템에 대해 설명하겠다. 제 1 가스도입관(103)의 가스 유량제어부(131)의 하류측에는, 일산화이질소가스를 소정의 온도로 예열하기 위한 가열부인 히터(105) 및 오리피스(106)가 마련되어 히터(105)는 오리피스(106)의 상류측에 배치된다.
예를 들면, 도 9에 나타낸 바와 같이, 히터(105)는 종형 열처리 시스템의 외측에 제 1 가스도입관(103)의 도중에 마련된다. 히터(105)는 제 1 가스도입관 (103)을 차단하기 위하여 마련된 예를 들면 석영으로 제조된 가열챔버(151)를 갖는다. 가열챔버(151)는 예를 들면 가스도입관(103)의 내경보다 큰 내경을 가지는 원통형의 가열관을 포함하여 구성되고, 그 종방향이 가스의 통기방향과 일치하도록 배치된다. 가열챔버(151)는 통기저항체(152), 예를 들면 다수의 투명 석영 컬릿 (cullets)으로 충진된다.
이하 가열챔버(151)의 일예를 설명하겠다. 예를 들면, 제 1 가스도입관 (103)의 내경이 20㎜인 경우에, 가열챔버(151)의 내경은 60㎜ 내지 80㎜의 범위이고, 가열챔버의 길이가 통기방향으로 약 100㎜ 내지 200㎜의 범위이며, 가열챔버에 충진된 석영 컬릿의 크기는 약 Ø1 내지 Ø10의 범위이다.
히터부인 히터소자(153)는 통기방향으로 가열챔버(151)의 외주부에 나선형상으로 권회되어 있다. 상기 히터소자(153)는 세라믹으로 된 실링부재, 예를 들면 수십 마이크로미터 이상의 직경을 가지는 석영(예를 들면 투명석영)튜브내에서 소량의 금속 불순물을 함유하는 복수개의 금속섬유, 예를 들면 약 10 마이크론의 직경을 가지는 복수개의 탄소섬유 다발을 편성함으로써 형성되는 실 형상의 부재를 나선형으로 충진함으로써 형성된다. 상기 히터소자(153)는 전력을 공급받으면 열을 발생한다. 도면에서, 참조번호 154는 상기 히터소자에 전력을 공급하기 위한 전력공급부이고, 참조번호 155는 실링 단자이다.
가열챔버(151)와 히터소자(153)는 원통형의 단열부재인 가열부본체(150)에 의하여 커버된다. 예를 들면, 가열부본체(150)는 냉매, 예를 들면 냉각수를 통기방향으로 히터소자(153)을 따라서 통과시키기 위한 냉각자켓(156)으로 형성된다. 냉각수는 냉각수 공급부(157)로부터 냉각자켓(156)으로 공급된다. 예를 들면, 온도감지부(158), 예컨대, 열전대는 냉각자켓(156)과 냉각부본체(150)의 히터소자(153)사이에 마련된다. 열전대에 의하여 감지된 온도에 기초하여, 제어부(C)는 히터소자(153)에 공급된 전력양과 냉각자켓(156)에 공급된 냉각수의 양을 제어하기 위하여 전력공급부(154) 및 냉각수 공급부(157)에 제어신호를 보내고, 그 결과 가열챔버(151)내의 온도는 히터소자(153)의 가열과 냉각자켓(156)의 냉각사이의 상호작용에 의하여 소정의 온도로 조정된다.
그리하여, 히터(105)에서는, 가열챔버(151)는 열교환부로서 기능한다. 처리 가스를 가열챔버내로 도입함으로써, 그 온도는 소정의 온도가 되어 처리가스가 통기저항체(152)와 접촉하도록 조정되었고, 처리가스는 소정의 온도로 예열된다.
가열챔버(151)의 하류측의 제 1 가스도입관의 일부는 내관(103a) 및 내관의 외측에 내관(103a)으로부터 간격을 두도록 마련된 외관(103b)으로 이루어지는 이중관으로서 형성된다. 외관의 다른 쪽 단부는 굴곡되어 플랜지부(133)로서 형성되고, 예를 들면 매니폴드(121)의 측벽에 레진으로 된 실링부재 예를 들면 O링을 경유하여 접속된다. 한편, 상술한 바와 같이, 내관(103a)은 제 1 가스도입관(103)으로서 매니폴드로 삽입된다. 그렇게 가열챔버(151)에 의해 예열된 처리가스는 내관(103a)을 관통하여 오리피스(106)를 경유하여 반응튜브(102)로 도입된다.
도 9에 나타낸 바와 같이, 오리피스(106)는 관의 직경이 갑자기 줄어드는 부분을 의미한다. 본 실시예에서는, 외관(103b)의 내경은 변하지 않고, 단지 내관 (103a)의 내경만이 변한다. 오리피스(106)의 내경은 예를 들면 내관의 내경의 약 1/50 내지 1/2 이 되도록 설정된다. 내관(103a)의 오리피스의 상류측과 하류측에는, 내관을 오리피스(106)에 접속시키기 위한 경사로(161,162)가 마련된다. 상류측 경사로(161)의 내경은 오리피스(106)로 점차적으로 감소하고, 하류측 경사로 (162)의 내경은 점차적으로 증가한다.
오리피스(106)의 일예에서는, 가열챔버(141)의 하류측에 있는 이중관의 외관 (103b)의 내경이 Ø10 내지 Ø18 의 범위이고, 내관(103a)의 내경이 Ø2 내지 Ø6의 범위일 경우에는, 오리피스의 내경은 Ø0.1 내지 Ø2의 범위이고, 오리피스 (106)의 길이는 약 0.1㎜ 내지 약 1㎜의 범위이고, 상류측 경사로(161) 및 하류측 경사로(162)의 각각의 길이는 약 0.1㎜ 내지 약 1㎜ 의 범위이다.
상술한 시스템에 의하여 실행되는 본 발명에 따른 방법의 일예로서, 고온 산화(HTO : High Temperature Oxide)막이라는 산화막을 형성하는 방법에 대하여 설명하겠다. 예를 들면, 상기 고온산화막은, 플래쉬 메모리의 플로팅 게이트와 컨트롤 게이트의사이에 마련된 O-N-O막으로 호칭되며, 실리콘 산화막(SiO2막), 실리콘 질화막(Si3N4막), 실리콘 산화막(SiO2막)으로 이루어지는 3층구조에 사용되는 실리콘 산화막으로서 적용된다.
구체적으로는, 우선, 다수개의 피처리체인 웨이퍼는 웨이퍼보트(123)의 선반위에 유지되어 보트승강기(126)를 상승시킴으로써 하단 개구부를 통하여 반응튜브 (102)내로 반입된다. 그리고 나서, 처리분위기내의 온도는 히터(128)에 의해 소정의 온도, 예를 들면 720℃로 가열되고, 매니폴드(121)의 하단 개구부, 즉 반응용기의 웨이퍼 반입/반출부는 덮개(124)에 의하여 기밀하게 폐쇄된다. 그리고 나서, 반응용기의 압력은 가열분위기에서 진공배기수단(도시하지 않음)에 의하여 배기관 (143)을 통하여 소정의 진공도, 예를 들면 0.1 토르(Torr) 내지 1 토르로 감소된다.
한편, 히터(105)에서는, 가열챔버(151)는 히터소자(153)에 의한 가열과 냉각수의 통과에 의한 냉각과의 조합에 의하여 가열되어, 통기저항체(152)를 소정의 온도, 예를 들면 500℃ 내지 900℃까지 가열한다. 그리고 나서, 제 1 처리가스인 일산화이질소가스는 가스공급원(131)으로부터 소정의 유동율, 예를 들면 100sccm 내 지 1000sccm로 가스도입관(103)을 경유하여 가열챔버(151)내로 공급된다. 이 때에, 반응튜브(102)내의 압력은 상술한 바와 같이, 약 0.1 토르 내지 약 1토르로 감소된다. 그러나, 오리피스(106)는 히터(105)와 반응튜브(102)사이에 형성되기 때문에, 가열챔버(151)의 압력은 오리피스(106)에서의 압력손실에 기인하여, 예를 들면, 약 200 토르 내지 약 700토르가 된다.
그리하여, 일산화이질소 가스는 소정의 온도로 이미 가열된 가열챔버를 통과하여 통기저항체(152)와 접촉하고, 그 결과 일산화이질소 가스는 분해되지는 않지만 활성화되는 온도, 즉 분해온도 예를 들면 500℃ 내지 850℃에 근접하는 온도까지 가열되어 가스도입관(103a)을 경유하여 반응튜브(102)내로 도입된다.
그리하여, 제 1 처리가스인 일산화이질소 가스와 제 2 처리가스인 디클로로실란(SiH2Cl2)가스는 가스공급원(131,141)으로부터 각각 소정의 유동율로 가스도입관(103,104)을 경유하여 반응튜브(보다 자세하게는 매니폴드와 반응튜브로 이루어지는 반응용기)내로 공급된다. 그리하여, 반응튜브(102)내의 압력이 예를 들면 0.1 토르 내지 1 토르일 때, 실리콘 산화막은 웨이퍼의 표면위에 형성된다.
이 때에, 처리가스들을 공급함으로써, 실리콘 산화막은 다음 반응을 따라서 웨이퍼(W) 위에 형성된다.
3N2O + SiH2Cl2 → SiO2 + H2O + 3N2 + Cl2
처리가스들이 반응튜브(102)의 내관(102a)에서 확산되어 웨이퍼보트(123)위에 장착된 웨이퍼(W)의 표면으로 공급되고, 상승하여 내관(102a)의 상단부에 도달 한 후에, 처리가스들은 외관(102b)과 내관(102a) 사이의 공간으로 떨어져 흘러 배기관(143)을 통하여 배기된다.
그리하여, 처리가스들은 웨이퍼보트(123)위에 장착된 웨이퍼(W)에 균일하게 공급되고, 그 결과 실리콘 산화막은 웨이퍼 위에 형성된다.
실리콘 산화막의 소정의 막형성이 완료된 후에, 처리가스의 도입은 중단되고, 웨이퍼의 표면온도는 소정의 온도로 떨어진다. 게다가, 퍼지가스, 예를 들면 N2가스는 막형성 도중에 처리가스를 도입하기 위하여 사용된, 예를 들면 두개의 가스도입관(103,104)으로부터 도입되고, 그 결과 반응튜브(102)내의 압력은 대기압으로 돌아간다. 그리고 나서, 보트 승강기(126)는 아래로 움직여 반응튜브(102)의 하단에 형성된 반입/반출부를 개방하고, 웨이퍼보트(123)를 반응튜브(102)로부터 반출한다.
이러한 바람직한 실시예에 따르면, 히터(105)에 의해 예열된 처리가스는 반응튜브(102)로 공급되고, 그 결과 반응튜브내의 낮은 처리온도에서 이른바 저온처리가 실행된다 할지라도 높은 평면내 균일성을 가지는 막형성 처리를 실행하는 것이 가능하다. 즉, "발명이 속하는 기술분야 및 그 분야의 종래기술"에서 상술한 바와 같이, 웨이퍼의 중앙부의 온도는 그 주연부의 온도보다 높고, 처리가스는 웨이퍼의 주연부로부터 중앙부를 향하여 흐른다. 따라서, 처리온도가 종래의 처리온도 750℃ 내지 830℃에서 약 720℃로 낮아진다면, 막형성 반응이 그리 진전되지 않은, 즉 분해의 정도가 작은 막형성 가스들이 웨이퍼의 주연부로 공급된다. 한 편, 가스들의 온도 및 농도는 주연부의 온도 및 농도보다 높기 때문에, 막형성 반응이 진전된, 즉 분해의 정도가 큰 처리가스들은 에이퍼의 중앙부로 공급된다. 그리하여, 막형성 반응은 웨이퍼의 주연부보다 중앙부에서 보다 쉽게 진전되고, 그 결과 중앙부에서 형성막의 두께는 주연부에서의 두께보다 크다.
한편, 본 발명에 따르면, 히터(105)에 의해 분해반응이 진전되지 않는 정도로 처리가스들이 활성화되는 온도, 즉 분해온도에 근접하는 온도까지 예열된 처리가스들은 반응튜브(102)로 도입된다면, 비록 반응튜브(102)내의 처리온도가 약 720℃의 저온이라 할지라도, 반응튜브로 도입된 처리가스들은 처리가스들이 웨이퍼의 주연부에 도달할 때까지 분해온도보다 높은 온도로 가열되고, 그 결과 처리가스들은 이미 분해온도에 근접한 온도까지 예열되었기 때문에, 분해반응이 충분히 진행된 처리가스들이 웨이퍼의 주연부로 공급된다.
실질적으로 동일한 분해도를 가지는 처리가스들이 웨이퍼의 주연부 및 중앙부로 공급되기 때문에, 막형성 반응은 웨이퍼의 전표면에 걸쳐서 실질적으로 동일한 상태로 진행되고, 그 결과 형성막의 높은 평면내 균일성을 확보하는 것이 가능하다.
처리가스들을 예열하기 위한 히터(105)에 있어서는, 가열챔버(151)에는 처리가스들이 통기저항체(152)에 접촉하는 동안에 처리가스들을 가열하기 위하여 통기저항체(152)가 마련되고, 그 결과 처리가스들의 온도를 효과적으로 높이는 것이 가능하다. 즉, 가열챔버(151)는 통기저항체(152)로 충진되고, 그 결과 처리가스들은 통기저항체(152)와 접촉하면서 가열챔버를 관통한다. 따라서, 처리가스들의 체류 시간은 증가하고, 그 결과 처리가스들은 히터소자(153)에 의해 가열된 처리가스들 자체의 대류에 기초한 가열과 통기저항체(152)로부터의 열전달에 기초한 가열의 조합에 의해 가열된다.
가열챔버(151)가 통기저항체(152)로서 약 Ø1 내지 Ø10의 크기의 석영컬릿으로 충진된 경우에는, 석영컬릿의 전체 표면적은 크고, 그 결과 보다 효율적으로 처리가스의 온도를 상승시킬 수 있는 큰 열전달 면적을 확보하는 것이 가능하다.
처리가스도입관(103)에는 히터(105)와 반응튜브(102)사이에 오리피스(106)가 마련되기 때문에, 비록 반응용기에서 저온처리가 실행된다 하더라도 처리가스를 소정의 온도까지 충분히 가열하는 것이 가능하다. 즉, 오리피스(106)에서 압력손실이 발생하기 때문에, 상류측에서의 압력은 하류측에서의 압력보다 높다. 그리하여, 반응튜브(102)에서의 압력이 예를 들면 약 0.1 토르 내지 1 토르로 감소한다 할지라도, 오리피스(106)의 상류측의 가열챔버(151)의 압력은 예를 들면 약 200 토르 내지 700토르이다. 한편, 오리피스(106)가 마련되어 있지 않다면, 반응튜브 (102)내의 압력이 예를 들면 약 0.1 토르 내지 약 1토르로 감소하는 경우에는, 가열챔버의 압력은 예를 들면 약 0.2 토르 내지 약 1 토르이다.
그리하여, 오리피스(106)를 마련함으로써 감압의 정도는 감소되기 때문에, 가열챔버(151)에서 대류가 일어나기 어렵다 하더라도, 그 정도는 작다. 게다가, 가열챔버(151)내의 처리가스의 부분압은 증가한다. 따라서, 오리피스(106)가 마련되지 않은 경우와 비교할 때, 가열챔버(105)내에서 처리가스의 대류에 기인한 열전도가 일어나기 쉽다. 그리하여, 열은 히터(105)의 내부로 충분히 전달되기 때문 에, 처리가스로의 열 전달의 효율은 향상되고, 그 결과 처리가스를 단시간에 소정의 온도로 가열하는 것이 가능하고, 저온처리를 실현하는 것이 가능하다.
상술한 바람직한 실시예에서와 동일한 열처리 시스템을 사용하여, 반응튜브 (102)의 압력은 0.1 내지 1 토르로 설정되었고, 반응튜브(102)의 처리온도는 720℃로 설정되었고, 가열챔버(151)의 온도는 500℃ 내지 900℃로 설정되었다. 게다가, 일산화이질소 가스와 디클로로실란(SiH2Cl2)은 각각 약 100sccm 내지 약 1000sccm 및 약 100sccm 내지 약 300sccm의 유동율로 시스템내로 도입되어, 막형성 처리를 실행한다. 웨이퍼위에 그렇게 형성된 실리콘 산화막 두께의 평면내 균일성은 두께측정기(ellipsometer)에 의해 측정된다. 결과적으로, 일산화이질소 가스를 가열챔버(151)내로 상술한 유동율로 통과시킴으로써 일산화이질소 가스를 충분히 예열하는 것이 가능하고, 저온처리에서도 형성된 막 두께의 높은 균일성을 실현하는 것이 가능하다는 것이 확인되었다.
게다가, 히터(105)의 하류측은 이중관이기 때문에, 다음과 같은 효과를 얻을 수 있다. 즉, 가열챔버(151)가 단관의 가스도입관에 의하여 반응튜브(102)에 접속되는 경우에, 단관의 단부는 플랜지로서 형성되어 있고, 양자는 상기 플랜지와 상기 반응튜브(102)사이에 마련된 수지제 실링부재(O-링)를 경유하여 접속된다. 그러한 구조로써, 가열챔버(151)에서 나오는 처리가스의 온도는 고온, 예를 들면 약 450℃ 내지 약 850℃이고, 그 결과 가스도입로는 처리가스를 통과시킴으로써 가열된다. 그리하여, 플랜지의 온도는 수지제 실링부재(O-링)의 내열온도, 예를 들면 250℃보다 높은 온도이다. 플랜지의 열에 의해, 수지제 실링부재(134)는 변형되고, 그 결과 기밀성은 저하될 수 있다.
반면에, 본 발명에 따라서 이중관이 사용되는 경우에는, 처리가스는 내관 (103a)을 관통하고, 그 결과 처리가스는 외관(103b)과 접촉하지 않는다. 그리하여, 처리가스와의 접촉에 기인한 열전도는 외관(103b)에서는 일어나지 않고, 그 결과 외관(103b)의 온도는 내관(103a)과 비교할 때 상승하지 않는다. 그러므로, 플랜지(133)가 외관(102b)에 의해 형성된다면, 또한 플랜지(133)가 수지제 실링부재 (134)를 경유하여 반응튜브(102)에 접속된다면, 외관의 온도는 수지제 실링부재 (134)의 내열 온도를 초과하지 않는다. 그러므로, 수지제 실링부재(134)가 플랜지 (133)의 열에 의해 변형될 가능성은 없으며, 그 결과 신뢰성을 향상시킬 수 있다.
가스도입관(103)이 이중관으로 이루어진다면, 외관(103b)은 가스들이 관통하는 내관(103a)과 외기와의 사이에 배치되어 내관(103a)이 외기와 접촉하는 것을 막고, 그 결과 외기에 기인한 내관(103a)의 냉각 정도는 감소한다. 그리하여, 가열된 처리가스들이 내관(103a)을 관통할 때 복사량이 감소하기 때문에, 처리가스의 온도의 급강하는 억제되고, 그 결과 예열에 의하여 활성화된 상태를 유지하면서 처리가스를 반응튜브(102)로 도입하는 것이 가능하다.
도 10 및 도 11을 참조하여, 다른 바람직한 실시예를 설명하겠다. 본 실시예에서는, 가스도입로(제 1 가스도입관 103)에는 가스도입로를 차단하기 위하여 오리피스 및 가열챔버의 조합을 포함하여 구성되는 가스 챔버(107)가 마련된다. 가스챔버(107)는 통기 방향으로 배치된 3개의 구획(107a, 107b, 107c)을 갖는다. 이 구획들(107a 내지 107c)은 통기구멍(171a, 171b)을 가지는 간벽(172a, 172b)에 의해 분리되고, 오리피스로서 기능하는 상기 통기구멍은 제 1 가스도입관(103)의 내경보다 작은 내경을 갖는다. 각각의 상류측의 제 1 구획(107a)과 하류측의 제 3 구획(107c)은 제 1 가스도입관(103)에 접속되고, 제 2 구획(107b)은 제 1 구획(107a)과 제 3 구획(107c)사이에 마련된다.
제 1 구획(107a)은 가열챔버로서 형성되고, 통기저항체(173), 예를 들면 비드 형태의 컬릿으로 충진되고, 히터부인 히터소자(174)는 도 10(b)에 나타낸 바와 같이, 제 1 구획(107a)에 권회된다. 통기저항체(173) 및 히터소자(174)는 상술한 실시예에서와 동일하다.
이러한 구조에서는, 제 2 구획(107b) 및 제 3 구획(107c)은 가열챔버(107a)와 근접하여 마련되고, 오리피스(171a, 171b)는 그 사이의 접속부에 마련된다. 그러므로, 반응용기의 압력이 감소된다면, 오리피스의 압력의 손실로 인하여 제 1 구획(107a), 제 2 구획(107b), 제 3 구획(107c)의 압력은 그 순서대로 감소될 것이다. 따라서, 제 1 구획(107a)에서 감압의 정도가 최소이기 때문에, 이 구획(107a)에서 전도는 충분히 일어나고, 열은 가열챔버의 내부로 충분히 전달되고, 그 결과 처리가스들의 가열효율을 향상시키는 것이 가능하고, 또한 가스들을 소정의 온도로 충분히 가열하는 것이 가능하다.
상술한 실시예에서, 가열챔버(151, 107a)에서는, 처리가스는 통기저항체 (152, 173)의 충진없이도 처리가스의 대류에 의하여 가열될 수 있다. 통기저항체 (152, 173)로서는 석영컬릿 이외에도 발포석영 또는 다공질 SiC등이 될 수 있다.
상술한 실시예에서는, 제 2 가스인 디클로로실란(SiH2Cl2)의 분해온도는 일산화이질소(N2O) 가스의 분해온도보다 낮고, 비록 예열이 실행되지 않더라도 분해반응은 반응튜브에서의 가열에 의하여 충분히 일어나고, 그 결과 제 1 처리가스인 일산화이질소(N2O) 가스만이 예열된다. 그러나, 디클로로실란(SiH2Cl2) 가스도 예열될 수 있다.
본 발명은 저압의 화학적기상증착(CVD)프로세스에 적용될 뿐만 아니라, 처리가스로서 예를 들면 염화수소(HCl)가스 및 산소(O2)가스를 사용하여 다음의 반응을 일으키기 위한 상압 프로세스에도 또한 적용될 수 있다.
2HCl + O2 → H2O + Cl2
본 발명이 적용될 수 있는 시스템은 상술한 배치 타입의 종형 열처리 시스템에 한정되어서는 아니되며, 본 발명은 얇은 막을 형성하기 위하여 단일 웨이퍼 열처리시스템이 사용되는 경우에도 효과적으로 적용될 수 있다. 이 경우에도 또한 높은 균일성처리를 실행하는 것이 가능하다. 본 발명은 실리콘 산화막의 형성에 적용될 뿐만 아니라 폴리실리콘 막, TEOS에 기초한 실리콘 산화막, 및 실리콘 질화막의 형성에도 적용될 수 있다. 본 발명은 또한 CVD 막형성 처리 이외에 건식 산화, 습식 산화, 염화수소 산화처리에서 산화막의 막형성에도 적용될 수 있다.
상술한 바와 같이, 본원 제 1 발명에 따르면, 피처리체에 대하여 산화처리가 실행될 때에, 산화막의 두께의 높은 균일성을 획득하는 것이 가능하고, 또한 처리온도를 낮추는 데에 기여할 수 있다. 게다가, 습식 산화처리용 연소시스템은 처리가스를 가열시키는 데에 사용되기 때문에, 전용히터가 마련되는 경우에 비하여 비용적인 측면이나 공간 효율성에도 보다 유리하다.
상술한 바와 같이, 본원 제 2 발명에 따르면, 처리가스는 반응용기의 외측에 마련된 가열부에 의해 소정의 온도로 예열된 후에 반응용기로 공급되고, 그 결과 처리의 균일성을 확보하면서 처리온도를 낮추는 것이 가능하다. 이 경우에는, 비록 저압의 처리가 실행된다 하더라도, 가열부와 반응용기사이에 오리피스가 마련된다면, 가열부에서의 감압의 정도를 줄이는 것이 가능하고, 또한 가열부에서 처리가스를 효율적으로 가열하는 것이 가능하다.
본 발명을 보다 잘 이해하기 위하여 바람직한 실시예라는 표현으로 개시되었지만, 본 발명의 원리를 벗어나지 않는 범위내에서 다양한 방법으로 구체화 될 수 있다. 따라서, 본 발명은 특허청구범위에 기재된 바와 같은 본 발명의 원리를 벗어나지 않는 범위내에서 구체화 될 수 있는 변형 실시예 및 모든 가능한 실시예를 포함하는 것으로 해석되어야 할 것이다.

Claims (10)

  1. 삭제
  2. 삭제
  3. 피처리체는 진공배기 수단에 의하여 소정의 진공도로 감압되고 그 내부가 소정의 처리온도로 가열되는 반응용기로 반입되고, 처리가스는 가스도입로를 경유하 여 반응용기내로 공급되어 피처리체를 처리하는 열처리 시스템에 있어서,
    상기 열처리시스템은 처리가스가 통과하는 가스도입로에 마련된 가스챔버와;
    상기 가스챔버를 처리가스의 배기 방향으로 복수의 구획으로 분할하는 간벽과;
    상기 간벽에 형성되고 가스도입로의 내경보다 더 작은 내경을 가지는 배기구멍과;
    상기 분할된 복수의 구획의 상류측인 가열챔버를 가열하기 위하여 가열챔버를 둘러싸도록 마련된 히터부를 포함하여 구성되며,
    가열챔버의 압력이 간벽에 형성된 배기구멍에서의 압력손실로 인하여 반응용기에서의 압력보다 높을 때, 처리가스가 가스도입로를 경유하여 가열챔버로 공급되고 소정의 온도로 예열되어 상기 예열된 처리가스를 반응용기에 공급하는 것을 특징으로 하는 열처리 시스템.
  4. 제 3 항에 있어서, 상기 열처리시스템은 다수의 피처리체가 홀더의 선반위에 유지되어 상기 반응용기로 반입되고, 상기 반응용기의 내부는 상기 반응용기를 둘러싼 가열수단에 의해 소정의 처리온도로 가열되는 종형 열처리시스템인 것을 특징으로 하는 열처리시스템.
  5. 제 3 항에 있어서, 통기저항체가 상기 가열챔버에 마련되고, 상기 통기저항체는 상기 처리가스와 접촉하여 상기 처리가스를 소정의 온도로 예열하는 것을 특 징으로 하는 열처리시스템.
  6. 제 3 항에 있어서, 상기 처리가스는 상기 처리가스가 분해되는 정도로 활성화되는 온도까지 상기 가열챔버에서 예열되는 것을 특징으로 하는 열처리시스템.
  7. 제 3 항에 있어서, 상기 히터부는 소량의 금속불순물을 포함하고 세라믹 안에 충진되는 저항발열체를 포함하여 구성되는 것을 특징으로 하는 열처리시스템.
  8. 제 7 항에 있어서, 상기 저항발열체는 고순도의 탄소 소재인 것을 특징으로 하는 열처리시스템.
  9. 제 7 항에 있어서, 상기 저항발열체는 석영 튜브에 충진되는 것을 특징으로 하는 열처리시스템.
  10. 삭제
KR1020070065376A 2000-05-31 2007-06-29 열처리 시스템 KR100785133B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00163002 2000-05-31
JP2000162769A JP3625741B2 (ja) 2000-05-31 2000-05-31 熱処理装置及びその方法
JP2000163002A JP3516635B2 (ja) 2000-05-31 2000-05-31 熱処理装置
JPJP-P-2000-00162769 2000-05-31

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020010030138A Division KR100783841B1 (ko) 2000-05-31 2001-05-30 열처리 시스템

Publications (2)

Publication Number Publication Date
KR20070073728A KR20070073728A (ko) 2007-07-10
KR100785133B1 true KR100785133B1 (ko) 2007-12-11

Family

ID=26593049

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020010030138A KR100783841B1 (ko) 2000-05-31 2001-05-30 열처리 시스템
KR1020070065375A KR100785132B1 (ko) 2000-05-31 2007-06-29 열처리 시스템
KR1020070065376A KR100785133B1 (ko) 2000-05-31 2007-06-29 열처리 시스템

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020010030138A KR100783841B1 (ko) 2000-05-31 2001-05-30 열처리 시스템
KR1020070065375A KR100785132B1 (ko) 2000-05-31 2007-06-29 열처리 시스템

Country Status (5)

Country Link
US (2) US6540509B2 (ko)
EP (1) EP1160838B1 (ko)
KR (3) KR100783841B1 (ko)
DE (1) DE60131698T2 (ko)
TW (1) TW550629B (ko)

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
EP1160838B1 (en) * 2000-05-31 2007-12-05 Tokyo Electron Limited Heat treatment system and method
JP2002286665A (ja) * 2001-03-23 2002-10-03 Fujikin Inc 未反応ガス検出装置及び未反応ガス検出センサ
JP3421660B2 (ja) * 2001-05-09 2003-06-30 東京エレクトロン株式会社 熱処理装置及びその方法
US20030000471A1 (en) * 2001-06-18 2003-01-02 Soo-Sik Yoon Method and apparatus for manufacturing semiconductor devices
US6793966B2 (en) 2001-09-10 2004-09-21 Howmet Research Corporation Chemical vapor deposition apparatus and method
KR100457451B1 (ko) * 2001-12-06 2004-11-17 주성엔지니어링(주) 소스 및 반응가스 전처리 장치
JP4061062B2 (ja) * 2001-12-13 2008-03-12 ローム株式会社 半導体発光素子の製法および酸化炉
US6953605B2 (en) * 2001-12-26 2005-10-11 Messier-Bugatti Method for densifying porous substrates by chemical vapour infiltration with preheated gas
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US7208195B2 (en) * 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
KR100481008B1 (ko) * 2002-06-03 2005-04-07 주성엔지니어링(주) 화학기상증착공정용 기체 가열장치 및 이를 이용한반도체소자 제조방법
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
TW200409230A (en) * 2002-11-28 2004-06-01 Au Optronics Corp Method for avoiding non-uniform etching of silicon layer
JP3872027B2 (ja) * 2003-03-07 2007-01-24 株式会社東芝 クリーニング方法及び半導体製造装置
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
JP4342895B2 (ja) * 2003-10-06 2009-10-14 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US7190889B2 (en) * 2004-05-17 2007-03-13 Neocera, Llc Non-contact heater and method for non-contact heating of a substrate for material deposition
KR100609065B1 (ko) * 2004-08-04 2006-08-10 삼성전자주식회사 산화막 형성 장치 및 방법
US20060228492A1 (en) * 2005-04-07 2006-10-12 Sumco Corporation Method for manufacturing SIMOX wafer
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
JP4369448B2 (ja) * 2006-06-23 2009-11-18 東京エレクトロン株式会社 石英製品のベーク方法
JP2008004852A (ja) * 2006-06-23 2008-01-10 Tokyo Electron Ltd 石英製品及び熱処理装置
TW200818327A (en) * 2006-09-29 2008-04-16 Sumco Techxiv Corp Silicon wafer heat treatment method
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US7758338B2 (en) * 2007-05-29 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier, port apparatus and facility interface and apparatus including same
US7856737B2 (en) * 2007-08-28 2010-12-28 Mathews Company Apparatus and method for reducing a moisture content of an agricultural product
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US8354135B2 (en) * 2008-03-17 2013-01-15 Tokyo Electron Limited Thermal processing apparatus, method for regulating temperature of thermal processing apparatus, and program
JP2010034283A (ja) 2008-07-29 2010-02-12 Hitachi Kokusai Electric Inc 基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101678661B1 (ko) 2009-11-18 2016-11-22 알이씨 실리콘 인코포레이티드 유동층 반응기
KR101678043B1 (ko) * 2009-12-28 2016-11-22 삼성전자 주식회사 비패턴 웨이퍼 검사 장치
US20110206842A1 (en) * 2010-02-25 2011-08-25 Vithal Revankar CVD-Siemens Reactor Process Hydrogen Recycle System
JP5394292B2 (ja) * 2010-03-12 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置および圧力検知システムと温度センサの組合体
CN102760640B (zh) * 2011-04-25 2015-06-17 中国科学院微电子研究所 防止积留水液的热氧化系统和方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013138180A (ja) * 2011-12-01 2013-07-11 Mitsubishi Electric Corp 半導体ウェハの熱処理方法、太陽電池の製造方法及び熱処理装置
JP2013197421A (ja) * 2012-03-21 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置
CN103377906A (zh) * 2012-04-17 2013-10-30 上海华虹Nec电子有限公司 氮氧化硅薄膜的制造方法
US9481838B2 (en) 2012-06-18 2016-11-01 General Electric Company Capture and storage of emissions from a gasifier
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014090286A1 (en) * 2012-12-11 2014-06-19 Abb Technology Ltd A method and an apparatus for heat treatment of an electric power cable
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
CN108878331B (zh) * 2018-08-20 2024-05-31 度亘激光技术(苏州)有限公司 一种批量化半导体湿法氧化装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115193277A (zh) * 2022-06-17 2022-10-18 深圳市德明利光电有限公司 一种用于氧化制程的气体混合装置及处理设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142460A (ja) * 1993-11-19 1995-06-02 Tokyo Electron Ltd 酸化処理装置及び酸化処理方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US46792A (en) * 1865-03-14 Improved blacksmith s forge
US22523A (en) * 1859-01-04 Corw-sheller
GB1303425A (ko) * 1969-01-28 1973-01-17
GB2061243B (en) * 1979-09-12 1983-05-18 Philips Electronic Associated Method of making semiconductor devices
US4315479A (en) * 1980-06-27 1982-02-16 Atomel Corporation Silicon wafer steam oxidizing apparatus
US4351805A (en) * 1981-04-06 1982-09-28 International Business Machines Corporation Single gas flow elevated pressure reactor
JPS60247933A (ja) * 1984-05-23 1985-12-07 Oki Electric Ind Co Ltd 半導体製造装置
US5234501A (en) * 1987-09-01 1993-08-10 Tokyo Electron Sagami Limited Oxidation metod
JPH01319940A (ja) * 1988-06-22 1989-12-26 Kimmon Mfg Co Ltd 外部燃焼酸化装置
JPH0585890A (ja) * 1991-09-25 1993-04-06 Matsushita Electric Ind Co Ltd 薄膜形成装置
JPH0653149A (ja) * 1992-07-31 1994-02-25 Tokyo Electron Ltd 半導体製造装置用シール材
JPH06196422A (ja) 1992-12-25 1994-07-15 Nec Corp 半導体結晶成長装置および成長法
US5445522A (en) * 1993-04-26 1995-08-29 Tokyo Electron Kabushiki Kaisha Combustion device
JPH0710935U (ja) * 1993-07-24 1995-02-14 ヤマハ株式会社 縦型熱処理炉
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
JP3256059B2 (ja) 1993-12-27 2002-02-12 株式会社日立製作所 半導体装置の製造方法
US5526984A (en) * 1994-07-18 1996-06-18 Saint-Gobain/Norton Industrial Ceramics Corp. Hydrogen torch having concentric tubes and reverse ball joint connection
JP3110316B2 (ja) * 1996-07-25 2000-11-20 日本電気株式会社 外部燃焼酸化装置
JPH11260728A (ja) * 1998-03-11 1999-09-24 Seiko Epson Corp 薄膜形成装置
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
KR100327329B1 (ko) 1998-12-11 2002-07-04 윤종용 저압하의실리콘산화막및산질화막형성방법
JP2000349081A (ja) * 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
JP2001023978A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
JP3587249B2 (ja) * 2000-03-30 2004-11-10 東芝セラミックス株式会社 流体加熱装置
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
EP1160838B1 (en) * 2000-05-31 2007-12-05 Tokyo Electron Limited Heat treatment system and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142460A (ja) * 1993-11-19 1995-06-02 Tokyo Electron Ltd 酸化処理装置及び酸化処理方法

Also Published As

Publication number Publication date
DE60131698D1 (de) 2008-01-17
US6863732B2 (en) 2005-03-08
US20010049080A1 (en) 2001-12-06
KR20010109180A (ko) 2001-12-08
US20030106495A1 (en) 2003-06-12
KR20070074539A (ko) 2007-07-12
EP1160838B1 (en) 2007-12-05
EP1160838A3 (en) 2004-12-01
US6540509B2 (en) 2003-04-01
KR100785132B1 (ko) 2007-12-11
KR20070073728A (ko) 2007-07-10
KR100783841B1 (ko) 2007-12-10
EP1160838A2 (en) 2001-12-05
DE60131698T2 (de) 2008-10-30
TW550629B (en) 2003-09-01

Similar Documents

Publication Publication Date Title
KR100785133B1 (ko) 열처리 시스템
KR100814594B1 (ko) 반도체 처리용 열처리 장치 및 방법
US6884295B2 (en) Method of forming oxynitride film or the like and system for carrying out the same
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2018164014A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2009044023A (ja) 半導体装置の製造方法および基板処理装置
KR100935260B1 (ko) 피처리체의 산화 방법, 산화 장치 및 기억 매체
KR101397467B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조 방법
US6132514A (en) Catalytic breakdown of reactant gases in chemical vapor deposition
JP3578155B2 (ja) 被処理体の酸化方法
JP4238812B2 (ja) 被処理体の酸化装置
JP4971954B2 (ja) 基板処理装置、半導体装置の製造方法、および加熱装置
CN112740374A (zh) 基板处理装置及半导体装置的制造方法
JP2013197421A (ja) 基板処理装置
JP3625741B2 (ja) 熱処理装置及びその方法
KR100596503B1 (ko) 기판 가열로 및 이를 포함하는 화학 기상 증착 장치
JP3516635B2 (ja) 熱処理装置
JP2007207974A (ja) 半導体装置の製造方法
JP2013197208A (ja) 基板処理装置、基板処理方法および半導体装置の製造方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191118

Year of fee payment: 13