CN102576729A - 用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点 - Google Patents

用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点 Download PDF

Info

Publication number
CN102576729A
CN102576729A CN201080042889XA CN201080042889A CN102576729A CN 102576729 A CN102576729 A CN 102576729A CN 201080042889X A CN201080042889X A CN 201080042889XA CN 201080042889 A CN201080042889 A CN 201080042889A CN 102576729 A CN102576729 A CN 102576729A
Authority
CN
China
Prior art keywords
layer
iii nitride
contact
iii
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201080042889XA
Other languages
English (en)
Inventor
贾迈勒·拉姆达斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National Semiconductor Corp
Original Assignee
National Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National Semiconductor Corp filed Critical National Semiconductor Corp
Publication of CN102576729A publication Critical patent/CN102576729A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/452Ohmic electrodes on AIII-BV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Abstract

本发明涉及一种设备,其包括衬底(120)、所述衬底上的III族氮化物层(102、104、106)及所述III族氮化物层上的电触点(108a、108b)。所述电触点包括具有多个导电材料层(110到116)的堆叠,且所述堆叠中的所述层中的至少一者包括锗。所述堆叠中的所述层可包括接触层(116),其中所述接触层包括铝铜。所述堆叠可包括钛或钛合金层、铝或铝合金层,以及锗或锗合金层。所述堆叠中的所述层中的至少一者可包括具有在约1%与约5%之间的锗含量的铝或钛合金。

Description

用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点
对相关申请案的交叉参考及优先权主张
本申请案根据35U.S.C.§119(e)主张2009年12月6日申请的第61/284,299号美国临时专利申请案的优先权,所述申请案特此以引用的方式并入本文中。
技术领域
本发明大体上涉及半导体装置。且更特定来说,本发明涉及用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点。
背景技术
正研究各种III-V族化合物以用于高功率电子应用。这些化合物包括“III族氮化物”,例如氮化镓(GaN)、氮化铝镓(AlGaN)及氮化铝铟镓(AlInGaN)。这些化合物可用于形成用于高功率高电压应用中的高电子迁移率晶体管(HEMT)或其它装置。
高性能HEMT通常需要到晶体管的源极及漏极的较低且高度稳定的特定接触电阻。到HEMT的当前欧姆触点通常使用钛-铝-钛-金金属堆叠、钛-铝-钛钨-金金属堆叠或钛-铝-钼-金金属堆叠。钨(W)及钼(Mo)几乎是不溶于金中的,从而使它们成为用于分隔金(Au)与铝(Al)的优秀势垒。这可帮组防止形成金化铝(Al2Au)状态,其可引起表面粗化及高电阻率。钛(Ti)及铝通常用于欧姆触点的形成中,因为它们互相反应且与氮反应以形成具有低电阻率的氮化钛(TiN)及氮化钛铝(TiAlN)层。
近来,已使用硅(Si)来重掺杂氮化镓或氮化铝镓层以作为进一步降低特定接触电阻的方式。然而,此类型的实施方案通常需要非常高温度的退火(例如高于1200℃),以活化氮化镓或氮化铝镓层中的硅施主。具有低硅原子部分的铝硅合金也已用于降低触点的特定电阻。在退火期间,硅扩散到氮化镓或氮化铝镓层且掺杂这些层,从而降低它们的特定接触电阻。
发明内容
附图说明
为了更完整地理解本发明及其特征,现在参考结合附图进行的以下描述,在附图中:
图1说明根据本发明的具有用于III族氮化物装置的低欧姆触点的实例半导体结构;
图2A到2E说明根据本发明的用于形成具有用于III族氮化物装置的低欧姆触点的半导体结构的实例技术;且
图3说明根据本发明的用于形成具有用于III族氮化物装置的低欧姆触点的半导体结构的实例方法。
具体实施方式
下述的图1到3及本专利文件中的用于描述本发明的原理的各种实施例仅作为说明,且不应以任何限制本发明的范围的方式加以解释。所属领域的技术人员将理解,本发明的原理可在任何类型的经合适地布置的装置或系统中实施。
一般来说,本发明描述使用锗(Ge)及各种锗合金(例如铝锗(AlGe)及钛锗(TiGe))来改进用于高电子迁移率晶体管(HEMT)及其它III族氮化物功率装置的欧姆触点。“III族氮化物”指代使用氮及至少一种III族元素形成的化合物。实例III族元素包括铟、镓及铝。实例III族氮化物包括氮化镓(GaN)、氮化铝镓(AlGaN)、氮化铟铝(InAlN)、氮化铟铝镓(InAlGaN)、氮化铝(AlN)、氮化铟(InN)及氮化铟镓(InGaN)。在用于欧姆触点的层的堆叠中包含锗可帮助降低到III族氮化物HEMT或其它结构的接触电阻。本发明还描述铝铜(AlCu)接触层(而不是金)的使用,其可帮助避免金化铝相形成,且提供可与基于硅的CMOS电路相当的接触方案。
图1说明根据本发明的具有用于III族氮化物装置的低欧姆触点的实例半导体结构100。在此实例中,欧姆触点用于到III族氮化物功率晶体管(例如HEMT)的源极及漏极的电连接。
如图1中所展示,半导体结构100包括缓冲层102及一个或一个以上势垒层104到106。缓冲及势垒层102到106中的每一者可由任何合适材料形成。举例来说,缓冲层102可由氮化镓、氮化铝镓或其它III族氮化物材料形成。并且,隔板层104到106中的每一者可由氮化镓、氮化铝镓或其它III族氮化物材料形成,且不同的材料可用于不同的势垒层中。举例来说,势垒层104可表示氮化镓层,且势垒层106可表示氮化铝镓层。氮化铝镓缓冲层中的铝浓度可比氮化铝镓势垒层中的铝浓度小得多。层102到106中的每一者还可以任何合适方式形成。举例来说,层102到106中的每一者可表示使用金属有机物化学气相沉积(MOCVD)或分子束外延(MBE)技术形成的外延层。
一个或一个以上欧姆触点108a到108b形成于势垒层106上。在此实例中,欧姆触点108a到108b中的每一者由导电层110到116的堆叠来形成。一般来说,导电层110到114包括含有锗或一种或一种以上锗合金的至少一个层,且导电层116可包括铝铜合金来作为接触层。作为特定实例,导电层110到116可形成:
·钛-铝锗-钛-铝铜堆叠;
·铝锗-钛-铝-铝铜堆叠;
·锗-铝-钛-铝铜堆叠;
·钛-锗-铝-铝铜堆叠;
·钛锗铝-铝-铝铜堆叠;
·钛锗-铝-铝铜堆叠;或
·钛-铝锗-铝-铝铜堆叠。
这些仅作为实例而提供。此处可使用包括锗或某一形式的锗合金或化合物的各种各样堆叠。还注意,四个导电层的使用不是所需的。
在特定实施例中,铝铜接触层116中的铜含量可在约0.5%与约1.0%之间,且铝铜接触层的厚度可在约100nm与约150nm之间。并且,钛层的厚度可在约10nm与约20nm之间、锗层的厚度可在约5nm与约15nm之间,且钛锗铝层的厚度可在约10nm与约20nm之间。此外,钛锗层的厚度可在约10nm与约20nm之间,且铝层的厚度可在约50nm与约100nm之间。此外,任何铝或钛合金中的锗成分可在约1%与约5%之间。此外,基于铝锗的合金可用于n型触点,因为锗对于氮化镓或氮化铝镓是n型掺杂物。
铜的添加(例如小于约2%的原子量)在降低电迁移率及排放应力时可为有用的。锗与铜在顶层116上的反应可进一步降低接触电阻、增强热稳定性及减少潜在的氧化。
导电层110到116中的每一者可以任何合适方式形成。举例来说,导电层110到116可使用任何合适沉积技术(例如溅镀)来沉积在势垒层106上。导电层110到116可接着(例如)通过使用光掩模来蚀刻以形成欧姆触点108a到108b。然而,可使用任何其它合适技术来形成欧姆触点108a到108b。
栅极触点118也形成在势垒层106上。栅极触点118表示HEMT或其它III族氮化物装置的栅极。栅极触点118可使用任何合适的导电材料且以任何合适方式来形成。举例来说,栅极触点118可通过遮蔽欧姆触点108a到108b且沉积及蚀刻导材料以形成触点118来形成。
缓冲层102在此处可形成在其它层或结构上。举例来说,缓冲层102可形成在衬底120及一个或一个以上介入层122上。衬底120表示在其上形成其它层或结构的任何合适半导体结构。举例来说,衬底120可表示硅<111>、蓝宝石、碳化硅或其它半导体衬底。衬底120还可具有任何合适大小及形状,例如直径在三与十二英寸之间的晶片(但可使用其它大小)。介入层122可包括提供任何合适功能性的任何合适层。举例来说,介入层122可包括成核层及一个或一个以上热管理层。
在图1中,锗的使用可具有作为对一个或一个以上III族氮化物层的高掺杂物的巨大潜力,且因此可进一步降低接触电阻。从理论上来说,锗被预期为富含氮的氛围中的优秀施主,且其在氮化镓中的溶度可超过1E21/cm3,只要氮化铝镓中的铝摩尔分数低于30%即可。
虽然图1说明具有用于III族氮化物装置的低欧姆触点的半导体结构100的一个实例,但可对图1做出各种改变。举例来说,虽然上文描述特定材料及制造工艺,但可使用任何其它材料及制造工艺来形成半导体结构100的各种层或其它结构。并且,虽然已描述特定大小或尺寸,但半导体结构100中的每一层或其它组件可具有任何合适大小、形状及尺寸。
图2A到2E说明根据本发明的用于形成具有用于III族氮化物装置的低欧姆触点的半导体结构的实例技术。如图2A中所展示,一个或一个以上介入层122形成在衬底120上。介入层122可包括任何数目的层,各自由任何合适材料形成。举例来说,介入层122可包括由一种或一种以上III族氮化物材料形成的热应力管理层。作为特定实例,热应力管理层可使用具有不同镓浓度的氮化铝镓层的组合来形成。可将低温氮化铝层插入到所述热应力管理层中。也可使用热应力管理层的其它配置,例如包括氮化铝/氮化铝镓的超晶格结构(多个薄层,每一者的厚度为几纳米)的配置。热应力管理层最少可具有两个层,且那些层可重复两次、三次或三次以上。取决于所形成的材料,介入层122还可使用任何合适技术来形成。实例技术可包括物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)、MOCVD或MBE。
如图2B中所展示,缓冲层102及一个或一个以上势垒层104到106形成在所述结构上。所述缓冲及势垒层102到106中的每一者可由任何合适材料且以任何合适方式来形成。举例来说,所述缓冲及势垒层102到106中的每一者可由一个或一个以上外延III族氮化物层来形成。
如图2C中所展示,多个导电层110到116形成在势垒层106上。导电层110到114中的每一者可由任何合适材料形成,且层110到114中的至少一者包括锗。并且,导电层116可由铝铜形成。
在一些实施例中,层110到116可使用沉积,通过在室温(RT)与约300℃之间的温度下进行溅镀来形成。所述制造工艺可包括使用氩(Ar+)离子的预沉积蚀刻来减少或消除表面污染物(例如碳及有机物残留)以及获得良好的金属附着。合金化可用于且可实施于快速热退火系统中,例如在约三十秒到一分钟的周期内在氮氛围中在约700℃与约1000℃之间的温度下。在特定实施例中,可使用两步骤退火工艺。第一步骤可在较低温度(例如低于约750℃)下实施,以将锗层扩散到一个或一个以上氮化镓或氮化铝镓层中。第二步骤可为高温退火(例如至多达约900℃,持续约三十秒),以形成对到氮化镓或氮化铝镓的欧姆触点负责的氮化铝钛共晶体。锗在氮化镓/氮化铝镓层中的扩散可重沉积这些层,且进一步降低接触电阻。
如图2D中所展示,导电层110到116经蚀刻或以其它方式经处理以形成欧姆触点108a到108b。欧姆触点108a到108b中的每一者可具有任何合适大小及形状,且不同的欧姆触点108a到108b可具有不同的大小或形状。欧姆触点108a到108b可以任何合适方式形成。举例来说,光致抗蚀剂材料层可沉积在导电层110到116上,且经图案化以产生穿过所述光致抗蚀剂材料的开口。可接着执行蚀刻,以穿过光致抗蚀剂材料中的开口来蚀刻导电层110到116。
如图2E中所展示,栅极触点118形成在导电层110到116上。栅极触点118可使用任何合适的导电材料且以任何合适方式来形成。举例来说,欧姆触点108a到108b可使用掩模来覆盖,且导电材料可沉积在欧姆触点108a到108b之间,且经蚀刻以形成栅极触点118。
在此工艺期间的某一点处,层102到106中的一者或一者以上可经进一步经处理以形成用于HEMT或其它III族氮化物装置中的结构。举例来说,可执行植入、扩散或其它处理操作以形成层102到106中的一者或一者以上中的晶体管的经掺杂的源极及漏极区。可执行其它或额外处理步骤以形成用于其它或额外III族氮化物装置的结构。
虽然图2A到2E说明用于形成具有用于III族氮化物装置的低欧姆触点的半导体结构的技术的一个实例,但可对图2A到2E做出各种改变。举例来说,虽然上文描述特定材料及处理技术,但所述结构中的每一层或其它组件可由任何合适材料且以任何合适方式来形成。
图3说明根据本发明的用于形成具有用于III族氮化物装置的低欧姆接触的半导体结构的实例方法300。如图3中所展示,在步骤302处,在衬底上形成一个或一个以上III族氮化物层。举例来说,这可包括在衬底102上形成成核层、热应力管理层、缓冲层及势垒层。然而,取决于实施方案,可省略这些层中的一者或一者以上。在此步骤期间,至少一种III族氮化物材料可用于至少一个层中,例如在一个或一个以上III族氮化物外延层中。在步骤304处,处理一个或一个以上III族氮化物层。举例来说,这可包括沉积至少一个III族氮化物层的部分以形成晶体管的源极及漏极区。然而,此处可执行任何其它或额外处理步骤。
在步骤306处,在一个或一个以上III族氮化物层上形成导电堆叠。举例来说,这可包括在所述势垒层上沉积不同的导电层110到116,例如具有铝或钛的导电层。导电层110到114中的至少一者包括锗,且接触层116可包括铝铜。在步骤308处,处理导电堆叠以形成用于一种或一种以上III族氮化物装置的一个或一个以上欧姆触点。举例来说,这可包括蚀刻所述导电堆叠以形成欧姆触点108a到108b。欧姆触点108a到108b可与一种或一种以上III族氮化物装置的晶体管或其它结构的源极及漏极区形成电接触。
此时,在步骤310处,可完成一个或一个以上III族氮化物装置的形成。举例来说,这可包括在势垒层上形成栅极触点118。这可完成一个或一个以上III族氮化物HEMT或其它结构的形成。
虽然图3说明用于形成具有用于III族氮化物装置的低欧姆触点的半导体结构的方法300的一个实例,但是可对图3做出各种改变。举例来说,虽然展示为一系列的步骤,但是图3中的各个步骤可重叠、并行地发生或以不同的顺序发生。
陈述已用于此专利文献中的某些词及短语的定义可为有利的。术语“包括”及“包含”,以及其派生词,表示没有限制的包括。术语“或”是包括性的,表示及/或。
虽然本发明已描述了某些实施例及大体相关的方法,但是对这些实施例及方法的更改及排列对所属领域的技术人员来说将是显而易见的。因此,对实例实施例的以上描述不限定或限制本发明。在不脱离如所附权利要求书限定的本发明的精神及范围的情况下,其它概念、代替及更改也是可能的。

Claims (20)

1.一种设备,其包含:
衬底;
III族氮化物层,其位于所述衬底上;及
电触点,其位于所述III族氮化物层上,所述电触点包含具有多个导电材料层的堆叠,所述堆叠中的所述层中的至少一者包含锗。
2.根据权利要求1所述的设备,其中所述堆叠中的所述层包括接触层,所述接触层包含铝铜。
3.根据权利要求2所述的设备,其中:
所述接触层具有在约0.5%与约1.0%之间的铜含量,且
所述接触层具有在约100nm与约150nm之间的厚度。
4.根据权利要求1所述的设备,其中所述堆叠包含:
钛或钛合金层;
铝或铝合金层;及
锗或锗合金层。
5.根据权利要求1所述的设备,其中所述堆叠中的所述层中的至少一者包含具有在约1%与约5%之间的锗含量的铝或钛合金。
6.根据权利要求1所述的设备,其中所述III族氮化物层包含缓冲层及至少一个势垒层,所述缓冲及势垒层包含III族氮化物外延层。
7.根据权利要求1所述的设备,其中:
所述电触点包含多个电触点中的一者;且
所述设备进一步包含所述电触点之间的栅极触点。
8.一种系统,其包含:
半导体结构,其包含衬底及所述衬底上的III族氮化物层;
III族氮化物集成电路装置,其在所述III族氮化物层中或上;及
多个电触点,其与所述III族氮化物集成电路装置电连接,每一电触点包含具有多个导电材料层的堆叠,所述堆叠中的所述层中的至少一者包含锗。
9.根据权利要求8所述的系统,其中所述III族氮化物集成电路装置包含III族氮化物高电子迁移率晶体管HEMT。
10.根据权利要求8所述的系统,其中所述堆叠中的所述层包括接触层,所述接触层包含铝铜。
11.根据权利要求10所述的系统,其中:
所述接触层具有在约0.5%与1.0%之间的铜含量;且
所述接触层具有在约100nm与约150nm之间的厚度。
12.根据权利要求8所述的系统,其中所述堆叠包含:
钛或钛合金层;
铝或铝合金层;及
锗或锗合金层。
13.根据权利要求8所述的系统,其中所述堆叠中的所述层中的至少一者包含具有在约1%与约5%之间的锗含量的铝或钛合金。
14.根据权利要求8所述的系统,其中所述III族氮化物层包含缓冲层及至少一个势垒层,所述缓冲及势垒层包含III族氮化物外延层。
15.根据权利要求8所述的系统,其中所述III族氮化物集成电路装置进一步包含所述电触点之间的栅极触点。
16.一种方法,其包含:
在衬底上形成III族氮化物层;及
在所述III族氮化物层上形成电触点,所述电触点包含具有多个导电材料层的堆叠,所述堆叠中的所述层中的至少一者包含锗。
17.根据权利要求16所述的方法,其进一步包含:
使用所述III族氮化物层形成III族氮化物集成电路装置,所述电触点与所述III族氮化物集成电路装置电连接。
18.根据权利要求16所述的方法,其中所述堆叠中的所述层包括接触层,所述接触层包含铝铜。
19.根据权利要求18所述的方法,其中:
所述接触层具有在约0.5%与1.0%之间的铜含量;且
所述接触层具有在约100nm与约150nm之间的厚度。
20.根据权利要求16所述的方法,其中所述堆叠中的所述层中的至少一者包含具有在约1%与约5%之间的锗含量的铝或钛合金。
CN201080042889XA 2009-12-16 2010-11-30 用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点 Pending CN102576729A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28429909P 2009-12-16 2009-12-16
US61/284,299 2009-12-16
PCT/US2010/058307 WO2011084270A2 (en) 2009-12-16 2010-11-30 Low ohmic contacts containing germanium for gallium nitride or other nitride-based power devices

Publications (1)

Publication Number Publication Date
CN102576729A true CN102576729A (zh) 2012-07-11

Family

ID=44141944

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080042889XA Pending CN102576729A (zh) 2009-12-16 2010-11-30 用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点

Country Status (5)

Country Link
US (1) US20110140173A1 (zh)
JP (1) JP2013514662A (zh)
CN (1) CN102576729A (zh)
TW (1) TW201131762A (zh)
WO (1) WO2011084270A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103928511A (zh) * 2014-04-16 2014-07-16 中国电子科技集团公司第十三研究所 一种适用于氮化镓器件的欧姆接触系统
CN106683994A (zh) * 2017-01-11 2017-05-17 电子科技大学 一种p型碳化硅欧姆接触的制作方法
CN109216442A (zh) * 2018-09-11 2019-01-15 苏州汉骅半导体有限公司 半导体结构制造方法

Families Citing this family (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946780B2 (en) 2011-03-01 2015-02-03 National Semiconductor Corporation Ohmic contact schemes for group III-V devices having a two-dimensional electron gas layer
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101890749B1 (ko) * 2011-10-27 2018-08-23 삼성전자주식회사 전극구조체, 이를 포함하는 질화갈륨계 반도체소자 및 이들의 제조방법
TWI458092B (zh) * 2012-01-10 2014-10-21 Univ Nat Chiao Tung 具有高電子遷移率之氮化鎵電晶體結構
CN102645807B (zh) * 2012-04-10 2015-08-26 深超光电(深圳)有限公司 液晶显示面板阵列基板及其制造方法
JP5985282B2 (ja) * 2012-07-12 2016-09-06 ルネサスエレクトロニクス株式会社 半導体装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI495154B (zh) * 2012-12-06 2015-08-01 Genesis Photonics Inc 半導體結構
TWI562402B (en) * 2012-12-06 2016-12-11 Genesis Photonics Inc Semiconductor structure
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
SG11201603049WA (en) * 2013-10-18 2016-05-30 Agency Science Tech & Res Semiconductor device fabrication
EP2881982B1 (en) 2013-12-05 2019-09-04 IMEC vzw Method for fabricating cmos compatible contact layers in semiconductor devices
JP6206159B2 (ja) * 2013-12-17 2017-10-04 三菱電機株式会社 半導体装置の製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6631950B2 (ja) * 2014-12-11 2020-01-15 パナソニックIpマネジメント株式会社 窒化物半導体装置および窒化物半導体装置の製造方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017015225A1 (en) 2015-07-17 2017-01-26 Cambridge Electronics, Inc. Field-plate structures for semiconductor devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TWI703726B (zh) 2016-09-19 2020-09-01 新世紀光電股份有限公司 含氮半導體元件
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP6888224B2 (ja) * 2017-10-16 2021-06-16 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019143569A1 (en) * 2018-01-16 2019-07-25 Princeton Optronics, Inc. Ohmic contacts and methods for manufacturing the same
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985763A (en) * 1995-05-31 1999-11-16 Texas Instruments Incorporated Method for producing barrier-less plug structures
US20030020092A1 (en) * 2001-07-24 2003-01-30 Primit Parikh Insulating gate AlGaN/GaN HEMT
US6528370B2 (en) * 1999-09-07 2003-03-04 Sony Corporation Semiconductor device and method of manufacturing the same
US20040113143A1 (en) * 2002-10-07 2004-06-17 Kabushiki Kaisha Toshiba Semiconductor device having a lattice-mismatched semiconductor layer on a substrate
US20060289891A1 (en) * 2005-06-28 2006-12-28 Hutchins Edward L Electronic and/or optoelectronic devices grown on free-standing GaN substrates with GaN spacer structures
JP2007201046A (ja) * 2006-01-25 2007-08-09 Kyocera Corp 化合物半導体及び発光素子
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US20080075843A1 (en) * 2006-09-27 2008-03-27 Samsung Electronics Co., Ltd. Method of Forming a Phase-Change Memory Unit and Method of Manufacturing a Phase-Change Memory Device Using the Same
US20090142870A1 (en) * 2007-05-02 2009-06-04 Showa Denko K.K. Manufacturing method of group iii nitride semiconductor light-emitting device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2593960B2 (ja) * 1990-11-29 1997-03-26 シャープ株式会社 化合物半導体発光素子とその製造方法
US6331482B1 (en) * 1996-06-26 2001-12-18 Micron Technology, Inc. Method of VLSI contact, trench, and via filling using a germanium underlayer with metallization
JPH1064907A (ja) * 1996-08-13 1998-03-06 Toshiba Corp 電気的固体装置及びその製造方法
JPH10335637A (ja) * 1997-05-30 1998-12-18 Sony Corp ヘテロ接合電界効果トランジスタ
US6784463B2 (en) * 1997-06-03 2004-08-31 Lumileds Lighting U.S., Llc III-Phospide and III-Arsenide flip chip light-emitting devices
US6110829A (en) * 1997-10-23 2000-08-29 Advanced Micro Devices, Inc. Ultra-low temperature Al fill for sub-0.25 μm generation of ICs using an Al-Ge-Cu alloy
JPH11186263A (ja) * 1997-12-17 1999-07-09 Matsushita Electron Corp 半導体装置およびその製造方法
JP2000049114A (ja) * 1998-07-30 2000-02-18 Sony Corp 電極およびその形成方法ならびに半導体装置およびその製造方法
JP3547320B2 (ja) * 1998-08-20 2004-07-28 古河電気工業株式会社 GaN系化合物半導体装置
US6567703B1 (en) * 2000-11-08 2003-05-20 Medtronic, Inc. Implantable medical device incorporating miniaturized circuit module
JP4023121B2 (ja) * 2001-09-06 2007-12-19 豊田合成株式会社 n型電極、III族窒化物系化合物半導体素子、n型電極の製造方法、及びIII族窒化物系化合物半導体素子の製造方法
US6730941B2 (en) * 2002-01-30 2004-05-04 Showa Denko Kabushiki Kaisha Boron phosphide-based semiconductor light-emitting device, production method thereof, and light-emitting diode
US20050109940A1 (en) * 2003-11-25 2005-05-26 Carr William N. Radiation sensor
JP4733371B2 (ja) * 2004-08-18 2011-07-27 三菱化学株式会社 n型窒化物半導体用のオーミック電極およびその製造方法
JP2007109682A (ja) * 2005-10-11 2007-04-26 Sanken Electric Co Ltd 半導体素子及びその製造方法
US7719030B2 (en) * 2006-03-29 2010-05-18 International Rectifier Corporation Aluminum alloys for low resistance, ohmic contacts to III-nitride or compound semiconductor
US8045595B2 (en) * 2006-11-15 2011-10-25 Cree, Inc. Self aligned diode fabrication method and self aligned laser diode
US7791198B2 (en) * 2007-02-20 2010-09-07 Nec Electronics Corporation Semiconductor device including a coupling region which includes layers of aluminum and copper alloys

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985763A (en) * 1995-05-31 1999-11-16 Texas Instruments Incorporated Method for producing barrier-less plug structures
US6528370B2 (en) * 1999-09-07 2003-03-04 Sony Corporation Semiconductor device and method of manufacturing the same
US20030020092A1 (en) * 2001-07-24 2003-01-30 Primit Parikh Insulating gate AlGaN/GaN HEMT
US20040113143A1 (en) * 2002-10-07 2004-06-17 Kabushiki Kaisha Toshiba Semiconductor device having a lattice-mismatched semiconductor layer on a substrate
US20060289891A1 (en) * 2005-06-28 2006-12-28 Hutchins Edward L Electronic and/or optoelectronic devices grown on free-standing GaN substrates with GaN spacer structures
JP2007201046A (ja) * 2006-01-25 2007-08-09 Kyocera Corp 化合物半導体及び発光素子
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US20080075843A1 (en) * 2006-09-27 2008-03-27 Samsung Electronics Co., Ltd. Method of Forming a Phase-Change Memory Unit and Method of Manufacturing a Phase-Change Memory Device Using the Same
US20090142870A1 (en) * 2007-05-02 2009-06-04 Showa Denko K.K. Manufacturing method of group iii nitride semiconductor light-emitting device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103928511A (zh) * 2014-04-16 2014-07-16 中国电子科技集团公司第十三研究所 一种适用于氮化镓器件的欧姆接触系统
CN106683994A (zh) * 2017-01-11 2017-05-17 电子科技大学 一种p型碳化硅欧姆接触的制作方法
CN106683994B (zh) * 2017-01-11 2020-01-10 电子科技大学 一种p型碳化硅欧姆接触的制作方法
CN109216442A (zh) * 2018-09-11 2019-01-15 苏州汉骅半导体有限公司 半导体结构制造方法

Also Published As

Publication number Publication date
WO2011084270A2 (en) 2011-07-14
TW201131762A (en) 2011-09-16
WO2011084270A3 (en) 2011-09-29
US20110140173A1 (en) 2011-06-16
JP2013514662A (ja) 2013-04-25

Similar Documents

Publication Publication Date Title
CN102576729A (zh) 用于基于氮化镓或其它氮化物的功率装置的含有锗的低欧姆触点
CN109037323B (zh) 具有选择性生成的2deg沟道的常关型hemt晶体管及其制造方法
JP5580602B2 (ja) デプレッションモードGaNベースFETを使用したカスコード回路
EP2416364A2 (en) Second Schottky Contact Metal Layer to improve Gan Schottky Diode Performance
US9331155B2 (en) Semiconductor device and manufacturing method
KR101108746B1 (ko) 질화물계 반도체 소자 및 그 제조 방법
KR20090128506A (ko) 반도체 디바이스
JP2004022773A (ja) 半導体装置
US9934978B2 (en) Method of fabricating an electrical contact for use on a semiconductor device
JP2010171416A (ja) 半導体装置、半導体装置の製造方法および半導体装置のリーク電流低減方法
JP2008078526A (ja) 窒化物半導体装置及びその製造方法
US20180061974A1 (en) Semiconductor device, power supply circuit, and computer
TW201421704A (zh) 具有部分凹陷陽極之氮化鎵為基的肖特基二極體
CN114008792A (zh) 半导体装置和其制造方法
JP2009188215A (ja) オーミック電極形成方法、電界効果トランジスタの製造方法、および電界効果トランジスタ
JP2011238866A (ja) 半導体装置及びその製造方法
JP2006135241A (ja) 半導体装置
KR101172857B1 (ko) 인헨스먼트 노멀리 오프 질화물 반도체 소자 및 그 제조방법
CN111524958A (zh) 一种高电子迁移率晶体管
CN112242441A (zh) 高电子迁移率晶体管
US20120007053A1 (en) Nitride-based semiconductor device and method for manufacturing the same
KR102113253B1 (ko) 질화물계 반도체 소자
JP5846779B2 (ja) 半導体装置及びその製造方法
CN117525112A (zh) 高电子迁移率晶体管及其制作方法
CN115997287A (zh) 氮化物基半导体ic芯片及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120711