JP5188326B2 - 半導体装置の製造方法、基板処理方法、及び基板処理装置 - Google Patents

半導体装置の製造方法、基板処理方法、及び基板処理装置 Download PDF

Info

Publication number
JP5188326B2
JP5188326B2 JP2008219724A JP2008219724A JP5188326B2 JP 5188326 B2 JP5188326 B2 JP 5188326B2 JP 2008219724 A JP2008219724 A JP 2008219724A JP 2008219724 A JP2008219724 A JP 2008219724A JP 5188326 B2 JP5188326 B2 JP 5188326B2
Authority
JP
Japan
Prior art keywords
joint surface
manifold
ring
process tube
seal cover
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008219724A
Other languages
English (en)
Other versions
JP2010056300A (ja
Inventor
慎也 森田
高行 中田
智行 松田
恵介 坂下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2008219724A priority Critical patent/JP5188326B2/ja
Priority to US12/537,017 priority patent/US8529701B2/en
Publication of JP2010056300A publication Critical patent/JP2010056300A/ja
Application granted granted Critical
Publication of JP5188326B2 publication Critical patent/JP5188326B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、基板処理装置に関する。
例えば、半導体集積回路装置(以下、ICという。)が作り込まれる半導体ウエハ(以
下、ウエハという。)に酸化膜や金属膜や半導体膜を形成する成膜、アニール、酸化、拡
散およびイオン打ち込み後のキャリア活性化や平坦化のためのリフロー等の熱処理(thermal treatment )に使用される熱処理装置(furnace)に利用して有効なものに関する。
ICの製造方法において、窒化シリコン(Si34 )や酸化シリコン(SiOx)お
よびポリシリコン等をウエハに堆積(デポジション)するのに、熱処理装置の一例である
バッチ式縦形ホットウオール形CVD装置が、広く使用されている。
バッチ式縦形ホットウオール形CVD装置(以下、CVD装置という。)は、アウタチ
ューブと、アウタチューブの内側に設けられて処理室を形成するインナチューブと、アウ
タチューブ内を加熱する加熱装置(ヒータ)と、アウタチューブおよびインナチューブを
載置し処理室を排気する排気管および処理室にガスを供給するガス導入管が接続されたマ
ニホールドと、複数枚のウエハを垂直方向に整列させて保持して処理室に搬入するボート
とを備えている。
そして、複数枚のウエハを保持したボートが処理室に下端の炉口から搬入(ボートロー
ディング)され、処理室に成膜ガスがガス導入管から供給されるとともに、加熱装置によ
って処理室が加熱されることにより、ウエハの上にCVD膜が堆積される。
従来のこの種のCVD装置においては、特許文献1のように、マニホールド(炉口フラ
ンジ)は金属によって形成されている。
金属製のマニホールドの場合には、板厚が薄く熱容量も小さいために、炉口外に放熱し
易かった。
特開2002−334868号公報
しかし、金属製マニホールドを使用した従来のCVD装置においては、反応ガスおよびクリー
ニングガスによる反応生成物の付着によって金属製マニホールドが腐蝕されるという問題点があった。
また、ICの微細化に伴って、金属製マニホールドを使用したCVD装置においては、金属製マニホールドからの金属の放出が問題となって来ている。そこで、最近はマニホールドやアウタチューブ、シールキャップを石英により製造している。
半導体製造装置において、石英のアウタチューブ等のフランジ部にOリングによる真空シール部があり、そのシール面(接合面)にキズ等があった場合はその個所から処理ガス等のリークが発生するという問題が生じる。アウタチューブ等は下面にシール面が配置されており、アウタチューブ等を半導体製造装置から取り外し、保管や仮置きする場合は床等に清浄なシート等を敷いて床置きするが、異物等があった場合はシール面に傷がついてしまうことがあった。
また、アウタチューブの排気管のフランジがアウタチューブの下面より下に設置しなければならない場合がある。その場合は、フランジの排気管でアウタチューブの自重を直接受けることになり、排気管の破損の危険性があった。
また、石英部品を床置きした場合、床の汚れがシール面に付着して炉内に持ち込まれることにより炉内の汚染の原因となっていた。
本発明の目的は、半導体製造装置において、石英部品を床置きした際にOリングシール面を保護し、排気管に自重をかけることがなく、石英部品にキズをつけることや排気管の破損の危険性を少なくし、メンテナンス時の床の汚れなどが炉内に入り込むことを防止することができる半導体製造装置を提供することにある。
前記した課題を解決するための手段のうち代表的なものは、次の通りである。
石英からなるアウタチューブとインナチューブからなる反応管と、前記アウタチューブと石英からなるマニホールドとの間を気密に接合する第1の接合面と、前記マニホールドと石英からなるシールカバーとの間を気密に接合する第2の接合面と、前記シールカバーとシールキャップとの間を気密に接合する第3の接合面と、を有する熱処理装置であって、前記第1または第2または第3の接合面の少なくとも1つの接合面にはOリングが設けられており、前記接合面のOリング部より外側に突起を設ける構成としたことを特徴とする熱処理装置。
前記手段によれば、マニホールド、アウタチューブ、シールキャップといった石英製の部品を床置きした際にシール面を保護し、傷をつけることや、排気管の破損の危険性が少なく、メンテナンス時の床の汚れなどが炉内に入り込むことを防止することができる。
以下、本発明の一実施の形態を図面に即して説明する。
本実施の形態において、本発明に係る基板処理装置は、ICの製造方法における成膜工
程を実施するCVD装置(バッチ式縦形ホットウオール形CVD装置)として構成されて
いる。
図1に示されているように、CVD装置10は加熱装置であるヒータ12を有する。
ヒータ12は円筒形状であり、保持板としてのヒータベース11に支持されることによ
り垂直に据え付けられている。
ヒータ12の内側には反応管としてのプロセスチューブ13が、ヒータ12と同心円状
に配設されている。プロセスチューブ13は外部反応管としてのアウタチューブ14と、
内部反応管としてのインナチューブ15とから構成されている。
アウタチューブ14は、石英が使用されて、内径がインナチューブ15の外径よりも大き
い円筒形状に形成されている。アウタチューブ14は上端が閉塞し下端が開口した円筒形状
に形成されている。
インナチューブ15は、例えば石英(SiO2 )が使用されて、上端および下端が開口し
た円筒形状に形成されている。インナチューブ15の筒中空部は処理室16を形成している。
処理室16はウエハ1を後述するボートによって水平姿勢で垂直方向に多段に整列した状態
で収容可能に構成されている。
アウタチューブ14とインナチューブ15とは同心円状に設けられている。アウタチュ
ーブ14とインナチューブ15との隙間によって筒状空間17が形成されている。
アウタチューブ14の下側には後述するマニホールド50がアウタチューブ14と同心円
状に配設されている。マニホールド50の上にはアウタチューブ14およびインナチューブ
15が載置されている。プロセスチューブ13とマニホールドとによって処理容器18が構成
されている。
アウタチューブ14には排気管20が接続されており、排気管20は処理室16内の雰
囲気を排気する。排気管20は筒状空間17の下端部に配置されており、筒状空間17に
連通している。
排気管20のアウタチューブ14との接続側と反対側である下流側には、真空ポンプ等
の排気装置23が圧力検出器としての圧力センサ21および圧力調整装置22を介して接
続されている。排気装置23は処理室16内の圧力が所定の圧力(真空度)となるように
排気する。
圧力センサ21および圧力調整装置22には圧力制御部24が電気配線Bによって電気
的に接続されている。圧力制御部24は圧力調整装置22を、圧力センサ21により検出
された圧力に基づいて、処理室16内の圧力が所望の圧力となるように、かつ、所望のタ
イミングをもって制御する。
排気管20は傾斜部20aを有する。傾斜部20aはヒータベース11から後述するア
ウタチューブ受けまで延在するように傾斜している。排気管20に傾斜部20aを設ける
ことにより、均熱エリア外の処理容器18の高さを小さくすることができる。
ここで、均熱エリア外の処理容器18の高さを大きくした場合には、それに応じてボー
トの高さを大きくしないと、ヒータ12が形成する均熱エリアにウエハを配置することが
できない。また、ボートが高くなると、処理容器18下方の待機室(予備室)の高さをも
、それに応じて大きくする必要がある。そのため、均熱エリア外の処理容器18の高さが
大きくなると、それに応じて、その部分の高さの約2倍分、CVD装置10全体の高さが
必要になってしまう。
本実施の形態においては、排気管20に傾斜部20aを設けることにより、均熱エリア
外の処理容器18の高さを小さくすることができるので、約2倍分、CVD装置10全体
の高さを小さくすることができる。
処理容器18にはガス供給部25が処理室16内に連通するように設けられている。ガ
ス供給部25にはガス供給管26が接続されている。
ガス供給管26にはガス供給部25との接続側と反対側である上流側に、ガス流量制御
器としてのMFC(マスフローコントローラ)27が接続されており、MFC27はガス
供給源28に接続されている。ガス供給源28は処理ガスや不活性ガスを供給する。
MFC27にはガス流量制御部29が電気配線Cによって電気的に接続されている。ガ
ス流量制御部29はMFC27を、供給するガスの流量が所望の量となるように、かつ、
所望のタイミングをもって制御する。
処理容器18の下方にはシールキャップ30が設けられている。シールキャップ30は
処理室16の下端開口を気密に閉塞可能な蓋体を構成している。シールキャップ30は例
えばステンレスやニッケル合金等の金属材料あるいは石英が使用されて円盤形状に形成さ
れている。
シールキャップ30の処理室16側にはシールキャップカバー31が設けられている。
シールキャップカバー31は、例えば石英のような非金属材料によって形成されている。
シールキャップカバー31はシールキャップ30を被覆することにより、金属部分が処理
室16側に露出するのを防止している。
シールキャップカバー31は処理容器18下面に垂直方向下側から当接する。
図2に示されているように、シールキャップ30には上面にOリング30aが設けられ
ている。Oリング30aはシールキャップカバー31下面と当接する密閉部材である。
シールキャップカバー31上面にもOリング31aが設けられている。Oリング31a
は処理容器18下面と当接する密閉部材である。
シールキャップ30には中央部に円形孔30bが開設されており、シールキャップカバ
ー31にも中央部に円形孔31bが開設されている。シールキャップ30の円形孔30b
と、シールキャップカバー31の円形孔31bとは重なり合っている。
シールキャップ30にはシールキャップカバー31と反対側(下側)に、フランジ32
が設けられている。フランジ32の外径は円形孔30bの口径よりも大きい。フランジ3
2はシールキャップ30に下方から、取り付けねじ32cにより固定されている。フラン
ジ32には中央部に挿通孔32aが開設されている。フランジ32上面には窪み32bが
挿通孔32aと同心円状に形成されている。窪み32bの口径は挿通孔32aの口径より
も大きく、シールキャップ30の円形孔30bおよびシールキャップカバー31の円形孔
31bの口径以下のサイズとなっている。
フランジ32には下面中央部に回転機構33が軸受34を介して設置されている。回転
機構33の回転軸33a上端にはボート受け35が回転軸33aと一体回転するように取
り付けられている。ボート受け35は、例えばステンレスまたはニッケル合金のような金
属が使用されて、上部が大径で下部が小径の二段円柱形状に形成されている。ボート受け
35はフランジ32の挿通孔32aおよび窪み32bと、シールキャップ30の円形孔3
0bとが画成する室内に嵌入されている。
ボート受け35上には台座36がボート受け35および回転軸33aと一体回転するよ
うに載せられている。台座36はアルミナセラミックスまたは透明石英もしくは不透明石
英が使用されて円柱形状に形成されている。台座36はシールキャップカバー31の円形
孔31b内に回転可能に嵌入されている。台座36の上にはボート37が台座36とボー
ト受け35、回転軸33aと一体回転するように載せられている。
基板保持具としてのボート37は、例えば石英や炭化珪素等の耐熱性材料によって形成
されている。ボート37は複数枚のウエハ1を水平姿勢でかつ互いに中心を揃えた状態で
整列させて多段に保持する。
なお、ボート37の下部には断熱部材としての断熱板38が複数枚、水平姿勢で多段に
配置されている。この断熱板38は、例えば石英や炭化珪素等の耐熱性材料が使用されて
円板形状に形成されている。断熱板38はヒータ12からの熱がシールキャップ30側に
伝わり難くさせる。
図1に示されているように、ベース39はボートエレベータ40のアーム41に、垂直
に支持されている。
ボートエレベータ40はプロセスチューブ13の外部に垂直に設備されている。ボート
エレベータ40はボートを垂直方向に昇降させる昇降機構である。すなわち、ボートエレ
ベータ40はボート37を処理室16へ搬入したり、処理室16から搬出したりする。
回転機構33およびボートエレベータ40には駆動制御部42が電気配線Aによって電
気的に接続されている。駆動制御42は回転機構33およびボートエレベータ40を、所
望の動作をするように、かつ、所望のタイミングをもって制御する。
プロセスチューブ13内には温度検出器としての温度センサ43が設置されている。
ヒータ12と温度センサ43には温度制御部44が電気配線Dによって電気的に接続さ
れている。温度制御部44はヒータ12への通電具合を、温度センサ43によって検出さ
れた温度情報に基づき、処理室16内の温度が所望の温度分布となるように、かつ、所望
のタイミングをもって制御する。
圧力制御部24、ガス流量制御部29、駆動制御部42および温度制御部44は、操作
部および入出力部をも構成し、CVD装置10全体を制御する主制御部45に電気的に接
続されている。
圧力制御部24、ガス流量制御部29、駆動制御部42、温度制御部44および主制御
部45はコントローラ46を構成している。
マニホールド50は非金属部材としての石英が使用されて、円形リング形の扁平ブロッ
ク形状(図3参照)に形成されている。マニホールド50は透明または半透明に形成され
ている。また、マニホールド50は、アウタチューブ14内周面より内側に突き出された
突出部50aを有している。
なお、図2に示されているように、マニホールド50にガス供給部25が外側壁50b
から突出部50aの内側壁50cに至るまで形成された貫通孔50dと貫通孔50dに貫
通されて設けられたノズル26Aにより形成されている。
このように、マニホールド50は非金属部材である石英によって形成されているため、
処理容器18内に金属部材を腐食させるガスを流したり、処理容器18内をエッチングガ
スを使用してドライクリーニングしたりしても腐食による金属汚染物を放出することはな
い。
円形リング形の扁平ブロック形状に形成されたマニホールド50は、特に、突出部50
aを有するため、ヒータ12からの熱線を直接受ける表面積を大きくすることができる。
これにより、ヒータ12からの輻射熱を受け易くでき、かつ、厚みが薄いため、熱容量を
小さくできるので、マニホールド50全体に熱が伝わり易い。
このため、マニホールド50の表面には副生成物が付着し難くなる。
さらに、マニホールド50はガス供給部25のガス等の供給ガスを予備加熱する。
マニホールド50の第一接合面51にはOリング53が敷設されている。Oリン
グ53はアウタチューブ14下面と当接する密閉部材である。
図5は処理室の構成を概略的に示したものであるが、図5に示されるように、石英製のアウタチューブ14等のフランジ部にOリングによるOリングシール面があり、そのシール面(接合面)にキズ等があった場合はその個所から処理ガス等がリークする問題が発生する。アウタチューブ14等は下面にシール面が配置されており、アウタチューブ14等を保管や仮置きする場合は床等に清浄なシート等を敷いて床置きするが、異物等があった場合はシール面に傷がついてしまうという問題があった。
また、アウタチューブ14の排気管20のフランジがアウタチューブ14の下面より下に設置しなければならない場合がある。その場合は、排気管20のフランジでアウタチューブ14の自重を受けることになり、排気管20の破損の危険性があった。また、石英部品を床置きした場合、床の汚れが付着して炉内に持ち込まれ汚染の原因となっていた。
アウタチューブ14、マニホールド50、シールキャップ30の下面にOリングシール面がある場合、床置きした際に、このシール面に傷がつく場合がある。

図6は、従来の構成である図5の点線で囲まれた部分の拡大図である。また、図7は、図6に対応する本発明の構成である。
図7、図8に示すようにアウタチューブ14下面のOリングシール面である接合面にブロック形状もしくはリング形状の石英からなる突起部70を設置して、床置きしてもOリングシール面が直接床に接触しないような構成とした。ブロック形状あるいはリング形状の突起部70は、Oリングシール位置より外側にあるため、Oリングシール面に傷がつくことが防止でき、床の汚れが床との接触面すなわち突起部に付着しても接触面はOリングシール位置の外側となるため、汚れを炉内に持ち込むことがない。
また、図8の点線にて示すようにアウタチューブ14の排気管20のフランジ71がアウタチューブ14の下面より下に設置しなければならない場合がある。その際も、下面にブロック形状やリング形状の突起部70を設置してアウタチューブ14の最下面が排気管20のフランジ71部分ではなく、突起部70とすることができる。これにより、アウタチューブ14を床置きした場合には、排気管20のフランジ部71は、Oリングシール面51より高い位置となり、床に接するのは突起部70となるため、排気管20のフランジ71でアウタチューブ14の自重を受けることなく、アウタチューブ14を床置きすることができる。なお、前記突起部70は、数箇所にブロック形状のものをOリングシール面(接合面)に設けることにより、床置きの際に接合面を保護することが可能となる。また、突起部70は、Oリングシール面の外周縁の近傍を一周にわたるようなリング形状でももちろんかまわない。
図3に示されているように、アウタチューブ14の外側には、垂直方向に延在する3本
の支柱60が周方向に所定の間隔、好ましくは等間隔に配置されている。図4(a)に示
されているように、支柱60は上端をヒータベース11に固定されている。
3本の支柱60はアウタチューブ受け(第一支持部材)61を吊持している。すなわち
、アウタチューブ受け61の外周に所定の間隔、好ましくは等間隔で突設された3個のブ
ラケット62aが、3本の支柱60、60、60下端面に各ボルト(締結部材)63によ
って締結されている。
アウタチューブ受け61はアウタチューブ14下端のフランジ部14aに対応した円形
リング形状に形成されている。アウタチューブ受け61はフランジ部14a外周に装着さ
れている。したがって、アウタチューブ14はアウタチューブ受け61によって支持され
ている。
図3に示されているように、アウタチューブ受け61の外周には、支柱60のためのブ
ラケット(以下、第一ブラケットという。)62aとは別のブラケット(以下、第二ブラ
ケットという。)62bが6個、周方向に所定の間隔、好ましくは等間隔で突設されてい
る。
図4(b)に示されているように、6個の第二ブラケット62bはマニホールド受け(
第二支持部材)64を吊持している。すなわち、マニホールド受け64外周部に所定の間
隔、好ましくは等間隔で突設された6個のブラケット65が、6個の第二ブラケット62
b下端面に各ボルト(締結部材)66によって締結されている。
マニホールド受け64はマニホールド50に対応した円形リング形状に形成されている
。マニホールド受け64はマニホールド50外周に装着されている。したがって、マニホ
ールド50はマニホールド受け64によって支持されている。また、インナチューブ15
はマニホールド50によって支持されているので、インナチューブ15もマニホールド受
け64によって支持されている。
ヒータベース11に固定された支柱60がアウタチューブ受け61とマニホールド受け
64とを兼用支持しているので、CVD装置10をコンパクト化することができる。
支柱60からアウタチューブ受け61を取り外すことにより、アウタチューブ14、マ
ニホールド50およびインナチューブ15を同時に取り外すことができる。したがって、
アウタチューブ14、マニホールド50およびインナチューブ15の交換作業を容易とす
ることができる。
なお、好ましくは、アウタチューブ受け61およびマニホールド受け64を周方向等間
隔の複数箇所で支持することにより、アウタチューブ14、マニホールド50およびイン
ナチューブ15の荷重を均等に分散させて支持することができるので、Oリング30a、
31aおよび53のシール状態を良好に維持することができる。
次に、以上の構成に係るCVD装置10を用いる本発明の一実施の形態であるICの製
造方法における成膜工程を説明する。
なお、以下の説明において、CVD装置10を構成する各部の動作はコントローラ46
により制御される。
複数枚のウエハ1がボート37に装填(ウエハチャージ)されると、図1に示されてい
るように、複数枚のウエハ1を保持したボート37は、ボートエレベータ40によって持
ち上げられて処理室16に搬入(ボートローディング)される。
この状態で、シールキャップ30はOリング30a、シールキャップカバー31および
Oリング31aを介してマニホールド50の下面をシールした状態となる。
処理室16内が所望の圧力(真空度)となるように排気装置23によって排気される。
この際、処理室16内の圧力は圧力センサ21で測定され、この測定された圧力に基づき
圧力調節装置22がフィードバック制御される。
また、処理室16内が所望の温度となるようにヒータ12によって加熱される。この際
、処理室16内が所望の温度分布となるように、温度センサ43が検出した温度情報に基
づきヒータ12への通電具合がフィードバック制御される。
続いて、回転機構33によってボート37が回転されることにより、ウエハ1が回転さ
れる。
ガス供給源28から供給されMFC27によって所望の流量となるように制御されたガ
スは、ガス供給管26を流通してガス供給部25から処理室16内に導入される。
導入されたガスは処理室16内を上昇し、インナチューブ15の上端開口から筒状空間
17に流出して排気管20から排気される。
ガスは処理室16内を通過する際にウエハ1の表面と接触し、この際に熱CVD反応に
よってウエハ1の表面上に薄膜が堆積(デポジション)される。
予め設定された処理時間が経過すると、ガス供給源28から不活性ガスが供給されて、
処理室16内が不活性ガスに置換されるとともに、処理室16内の圧力が常圧に復帰され
る。
その後、ボートエレベータ40によってシールキャップ30が下降されて、処理室16
の下端が開口されるとともに、処理済ウエハ1がボート37に保持された状態で、処理室
16の外部に搬出(ボートアンローディング)される。
その後、処理済ウエハ1はボート37から取出される(ウエハディスチャージ)。
なお、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲
で種々に変更が可能であることはいうまでもない。
例えば、マニホールドは石英によって形成するに限らず、アルミナによって形成しても
よい。
但し、アルミナ部材は熱勾配に弱く割れる可能性がある。例えば、アルミナ製のマニホ
ールドは炉内温度700℃で100℃以上の温度差が生じる場合に割れる可能性がある。
したがって、マニホールドは石英によって形成することが好ましい。
例えば、均熱エリア外の処理容器18の高さを小さくすることができない点で劣るもの
の、排気管20に傾斜部20aを設けなくてもよい。
例えば、シールキャップからの金属汚染を抑制し難い点で劣るものの、シールキャップ
カバーは設けなくてもよい。
前記実施の形態ではCVD装置について説明したが、本発明はこれに限らず、アニール
、酸化、拡散およびリフローのような熱処理に使用される熱処理装置等の基板処理装置全
般に適用することができる。
基板はウエハに限らず、ホトマスクやプリント配線基板、液晶パネル、光ディスクおよ
び磁気ディスク等であってもよい。
従来の実施の形態であるCVD装置を示す縦断面図である。 従来の処理室の主要部を示す縦断面図である。 同じく横断面図である。 (a)は図3のa−a線に沿う断面図、(b)は図3のb−b線に沿う断面図である。 従来の処理室の概略を示す断面図である。 図5の点線枠部を拡大した従来のシール面を示す図である。 図6に対応する本発明のシール面を示す図である。 本発明の排気管とシール面の突起との位置関係を示す図である。
符号の説明
1…ウエハ(基板)、
10…CVD装置、11…ヒータベース、12…ヒータ、
13…プロセスチューブ、14…アウタチューブ、14a…フランジ部、15…インナ
チューブ、16…処理室、17…筒状空間、18…処理容器、
20…排気管、20a…傾斜部、21…圧力センサ、22…圧力調整装置、23…排気
装置、24…圧力制御部、
25…ガス供給部、26…ガス供給管、26A…ノズル、27…MFC、28…ガス供
給源、29…ガス流量制御部、
30…シールキャップ(蓋体)、30a…Oリング、30b…円形孔、31…シールキ
ャップカバー、31a…Oリング、31b…円形孔、
32…フランジ、32a…挿通孔、32b…窪み、32c…取り付けねじ、33…回転
機構、33a…回転軸、34…軸受、35…ボート受け、36…台座、37…ボート、3
8…断熱板、39…ベース
40…ボートエレベータ、41…アーム、42…駆動制御部、
43…温度センサ、44…温度制御部、
45…主制御部、46…コントローラ、
50…マニホールド、50a…突出部、50b…外側壁、50c…内側壁、50d…貫
通孔、50e…突起、51…アウタチューブとの第一接合面、52…シールキャップカバ
ーとの第二接合面、53…Oリング、54…支持部、55…ガス供給部溝、56…温度セ
ンサ溝、
60…支柱、61…アウタチューブ受け(第一支持部材)、62a…第一ブラケット、
62b…第二ブラケット、63…ボルト(締結部材)、64…マニホールド受け(第二支
持部材)、65…ブラケット、66…ボルト(締結部材)。

Claims (8)

  1. 石英からなるアウタチューブとインナチューブからなる反応管と、
    前記アウタチューブと石英からなるマニホールドとの間を気密に接合する第1の接合面と、
    前記マニホールドと石英からなるシールカバーとの間を気密に接合する第2の接合面と、
    前記シールカバーとシールキャップとの間を気密に接合する第3の接合面と、
    を有する熱処理装置であって、
    前記第1、第2および第3の接合面のうち少なくともいずれか1つの接合面にはリングが設けられており、
    前記第1の接合面のリングが設けられている部分より外側であって前記第1の接合面のアウタチューブ側、前記第2の接合面のリングが設けられている部分より外側であって前記第2の接合面のマニホールド側および前記第3の接合面のリングが設けられている部分より外側であって前記第3の接合面のシールカバー側のうち少なくともいずれか1つに突起を設ける構成としたことを特徴とする熱処理装置。
  2. 請求項1において、前記アウタチューブは排気管を有しており、前記突起の最下部は前記排気管の最下部より低く形成されることを特徴とする熱処理装置。
  3. プロセスチューブと、
    前記プロセスチューブに当接するマニホールドと、
    前記マニホールドに当接するシールカバーと、
    前記シールカバーに当接するシールキャップと、
    前記プロセスチューブと前記マニホールドとの間を気密に接合する第1の接合面と、
    前記マニホールドと前記シールカバーとの間を気密に接合する第2の接合面と、
    前記シールカバーと前記シールキャップとの間を気密に接合する第3の接合面と、
    を有し、
    前記第1の接合面、前記第2の接合面および前記第3の接合面のうち少なくともいずれか1つの接合面にはリングが設けられ、
    前記第1の接合面のリングが設けられている部分より外側であって前記第1の接合面のプロセスチューブ側、前記第2の接合面のリングが設けられている部分より外側であって前記第2の接合面のマニホールド側および前記第3の接合面のリングが設けられている部分より外側であって前記第3の接合面の前記シールカバー側のうち少なくともいずれか1つに突起が設けられる基板処理装置。
  4. プロセスチューブと、前記プロセスチューブに当接するマニホールドと、前記マニホールドに当接するシールカバーと、前記シールカバーに当接するシールキャップと、前記プロセスチューブと前記マニホールドとの間を気密に接合する第1の接合面と、前記マニホールドと前記シールカバーとの間を気密に接合する第2の接合面と、前記シールカバーと前記シールキャップとの間を気密に接合する第3の接合面と、を有し、前記第1の接合面、前記第2の接合面および前記第3の接合面のうち少なくともいずれか1つの接合面にはリングが設けられ、前記第1の接合面のリングが設けられた部分より外側であって前記第1の接合面のプロセスチューブ側、前記第2の接合面のリングが設けられた部分より外側であって前記第2の接合面のマニホールド側および前記第3の接合面のリングが設けられた部分より外側であって前記第3の接合面の前記シールカバー側のうち少なくともいずれか1つに突起が設けられる処理容器内に基板を搬入する工程と、
    前記処理容器内で前記基板を処理する工程と、
    処理後の前記基板を前記処理容器内から搬出する工程と、
    を有する基板処理方法。
  5. プロセスチューブと、前記プロセスチューブに当接するマニホールドと、前記マニホールドに当接するシールカバーと、前記シールカバーに当接するシールキャップと、前記プロセスチューブと前記マニホールドとの間を気密に接合する第1の接合面と、前記マニホールドと前記シールカバーとの間を気密に接合する第2の接合面と、前記シールカバーと前記シールキャップとの間を気密に接合する第3の接合面と、を有し、前記第1の接合面、前記第2の接合面および前記第3の接合面のうち少なくともいずれか1つの接合面にはリングが設けられ、前記第1の接合面のリングが設けられた部分より外側であって前記第1の接合面のプロセスチューブ側、前記第2の接合面のリングが設けられた部分より外側であって前記第2の接合面のマニホールド側および前記第3の接合面のリングが設けられた部分より外側であって前記第3の接合面の前記シールカバー側のうち少なくともいずれか1つに突起が設けられる処理容器内に基板を搬入する工程と、
    前記処理容器内で前記基板を処理する工程と、
    処理後の前記基板を前記処理容器内から搬出する工程と、
    を有する半導体装置の製造方法。
  6. プロセスチューブと、
    前記プロセスチューブに当接するマニホールドと、
    前記プロセスチューブと前記マニホールドとの間を気密に接合する接合面を有し、
    前記接合面にはリングが設けられ、
    前記接合面のリングが設けられる部分よりも外側であって、前記接合面の前記プロセスチューブ側の接合面に突起が設けられる基板処理装置。
  7. プロセスチューブと、
    前記プロセスチューブに当接するマニホールドと、
    前記マニホールドに当接するシールキャップと、
    前記プロセスチューブと前記マニホールドとの間を気密に接合する第1の接合面と、
    前記マニホールドと前記シールキャップとの間を気密に接合する第2の接合面と、
    を有し、
    前記第1の接合面および前記第2の接合面のうち少なくともいずれか1つの接合面にはリングが設けられ、
    前記第1の接合面のリングが設けられている部分よりも外側であって前記第1の接合面の前記プロセスチューブ側および前記第2の接合面のリングが設けられた部分よりも外側であって前記第2の接合面の前記マニホールド側のうち少なくともいずれか1つに突起が設けられる基板処理装置。
  8. マニホールドと、前記マニホールドに当接するシールキャップと、前記マニホールドと前記シールキャップとの間に気密に接合する第1の接合面と、を有し、
    前記第1の接合面にはリングが設けられる基板処理装置に用いられるプロセスチューブであって、
    前記マニホールドとの間を気密に接合する第2の接合面を有し、前記第2の接合面のリングが設けられる部分よりも外側に突起が設けられるプロセスチューブ。
JP2008219724A 2008-08-28 2008-08-28 半導体装置の製造方法、基板処理方法、及び基板処理装置 Active JP5188326B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008219724A JP5188326B2 (ja) 2008-08-28 2008-08-28 半導体装置の製造方法、基板処理方法、及び基板処理装置
US12/537,017 US8529701B2 (en) 2008-08-28 2009-08-06 Substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008219724A JP5188326B2 (ja) 2008-08-28 2008-08-28 半導体装置の製造方法、基板処理方法、及び基板処理装置

Publications (2)

Publication Number Publication Date
JP2010056300A JP2010056300A (ja) 2010-03-11
JP5188326B2 true JP5188326B2 (ja) 2013-04-24

Family

ID=41723465

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008219724A Active JP5188326B2 (ja) 2008-08-28 2008-08-28 半導体装置の製造方法、基板処理方法、及び基板処理装置

Country Status (2)

Country Link
US (1) US8529701B2 (ja)
JP (1) JP5188326B2 (ja)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8246749B2 (en) * 2005-07-26 2012-08-21 Hitachi Kokusai Electric, Inc. Substrate processing apparatus and semiconductor device producing method
JP5188326B2 (ja) * 2008-08-28 2013-04-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) * 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102162366B1 (ko) * 2014-01-21 2020-10-06 우범제 퓸 제거 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP5951095B1 (ja) * 2015-09-08 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10861727B2 (en) 2018-03-13 2020-12-08 Samsung Electronics Co., Ltd. Segmented vertical wafer boat
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113088935A (zh) * 2021-03-30 2021-07-09 上海华力微电子有限公司 一种固定底座、lpcvd炉管及lpcvd设备
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539933A (en) * 1983-08-31 1985-09-10 Anicon, Inc. Chemical vapor deposition apparatus
JPH0354821A (ja) * 1989-07-21 1991-03-08 Toshiba Ceramics Co Ltd 縦型熱処理炉
JP3007432B2 (ja) * 1991-02-19 2000-02-07 東京エレクトロン株式会社 熱処理装置
JP3106172B2 (ja) * 1991-02-26 2000-11-06 東京エレクトロン株式会社 熱処理装置の封止構造
JP3164248B2 (ja) * 1992-06-11 2001-05-08 東京エレクトロン株式会社 熱処理装置
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
JP3173698B2 (ja) * 1993-07-03 2001-06-04 東京エレクトロン株式会社 熱処理方法及びその装置
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP3278011B2 (ja) * 1993-08-19 2002-04-30 東京エレクトロン株式会社 熱処理装置
JP3151597B2 (ja) * 1995-09-19 2001-04-03 東京エレクトロン株式会社 縦型熱処理装置
US5994675A (en) * 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
US5908292A (en) * 1997-03-07 1999-06-01 Semitool, Inc. Semiconductor processing furnace outflow cooling system
US6407367B1 (en) * 1997-12-26 2002-06-18 Canon Kabushiki Kaisha Heat treatment apparatus, heat treatment process employing the same, and process for producing semiconductor article
JP2000068259A (ja) * 1998-08-19 2000-03-03 Tokyo Electron Ltd 熱処理装置
TW430866B (en) * 1998-11-26 2001-04-21 Tokyo Electron Ltd Thermal treatment apparatus
JP2002334868A (ja) 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003031564A (ja) * 2001-07-19 2003-01-31 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JPWO2004075272A1 (ja) * 2003-02-21 2006-06-01 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
JP4268069B2 (ja) * 2003-10-24 2009-05-27 東京エレクトロン株式会社 縦型熱処理装置
JP4399279B2 (ja) * 2004-01-21 2010-01-13 株式会社日立国際電気 基板処理装置およびicの製造方法
JP4929199B2 (ja) * 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
JP5593472B2 (ja) * 2008-08-27 2014-09-24 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP5188326B2 (ja) * 2008-08-28 2013-04-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置

Also Published As

Publication number Publication date
US8529701B2 (en) 2013-09-10
JP2010056300A (ja) 2010-03-11
US20100050945A1 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
JP5188326B2 (ja) 半導体装置の製造方法、基板処理方法、及び基板処理装置
JP4929199B2 (ja) 基板処理装置および半導体装置の製造方法
JP5237133B2 (ja) 基板処理装置
KR101528138B1 (ko) 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
WO2005076343A1 (ja) 半導体処理用の基板保持具及び処理装置
JP2010056249A (ja) 基板処理装置及び半導体装置の製造方法
EP3715501A1 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
JP2009124105A (ja) 基板処理装置
JP5087283B2 (ja) 温度制御システム、基板処理装置、及び半導体装置の製造方法
JP4880408B2 (ja) 基板処理装置、基板処理方法、半導体装置の製造方法、メインコントローラおよびプログラム
JP4404666B2 (ja) 基板支持体、基板処理装置および半導体装置の製造方法
JP2011003689A (ja) 基板処理装置
KR20200108467A (ko) 처리 장치, 배기 시스템, 반도체 장치의 제조 방법
JP4399279B2 (ja) 基板処理装置およびicの製造方法
JP2011204735A (ja) 基板処理装置および半導体装置の製造方法
JP2010040919A (ja) 基板処理装置
WO2024029126A1 (ja) 基板処理装置、半導体装置の製造方法、及びプログラム
JP2012195375A (ja) 基板処理装置
JP2009016532A (ja) 基板処理装置及び半導体装置の製造方法
JP2011222710A (ja) 反応容器の着脱方法
JP2007258630A (ja) 基板処理装置
JP2010034196A (ja) 基板処理装置および半導体装置の製造方法
JP2013016635A (ja) 基板処理装置及び半導体装置の製造方法
JP2013239656A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110812

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120509

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160201

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5188326

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250