JP5593472B2 - 基板処理装置および半導体デバイスの製造方法 - Google Patents

基板処理装置および半導体デバイスの製造方法 Download PDF

Info

Publication number
JP5593472B2
JP5593472B2 JP2009165679A JP2009165679A JP5593472B2 JP 5593472 B2 JP5593472 B2 JP 5593472B2 JP 2009165679 A JP2009165679 A JP 2009165679A JP 2009165679 A JP2009165679 A JP 2009165679A JP 5593472 B2 JP5593472 B2 JP 5593472B2
Authority
JP
Japan
Prior art keywords
manifold
inner tube
heat
outer tube
absorbing member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009165679A
Other languages
English (en)
Other versions
JP2010080923A (ja
Inventor
慎也 森田
剛一 佐田
高行 中田
智行 松田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2009165679A priority Critical patent/JP5593472B2/ja
Priority to US12/548,066 priority patent/US8076615B2/en
Priority to TW098128603A priority patent/TWI387666B/zh
Publication of JP2010080923A publication Critical patent/JP2010080923A/ja
Application granted granted Critical
Publication of JP5593472B2 publication Critical patent/JP5593472B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、基板処理装置および半導体デバイスの製造方法に関する。
基板処理装置の一例として、半導体製造装置があり、さらに半導体製造装置の一例として、縦型拡散・CVD(Chemical Vapor Deposition)装置が知られている。
この縦型拡散・CVD装置は、アウタチューブと、アウタチューブの内側に設けられて処理室を形成するインナチューブと、アウタチューブ内を加熱する加熱装置(ヒータ)と、アウタチューブおよびインナチューブを載置し処理室を排気する排気管および処理室にガスを供給するガス導入管が接続されたマニホールドと、複数枚のウエハを垂直方向に整列させて保持して処理室に搬入するボートとを備えている。
そして、複数枚のウエハを保持したボートが処理室に下端の炉口から搬入(ボートローディング)され、処理室に成膜ガスがガス導入管から供給されるとともに、加熱装置によって処理室が加熱されることにより、ウエハの上にCVD膜が堆積される。
この種の縦型拡散・CVD装置においては、特許文献1のように、マニホールド(炉口フランジ)は金属によって形成されている。
金属製のマニホールドの場合には、板厚が薄く熱容量も小さいために、炉口外に放熱しやすかった。
特開2002−334868号公報
しかし、金属製マニホールドを使用したCVD装置においては、反応ガスおよびクリーニングガスによる反応生成物の付着によって金属製マニホールドが腐蝕されるという問題があった。
また、ICの微細化に伴って、金属製マニホールドを使用したCVD装置においては、金属製マニホールドからの金属の放出が問題となってきている。
そこで、処理すべき基板への金属汚染を抑制すべく反応室内への金属部品をむき出させるのを最小限にし、アウタチューブ、インナチューブのみならず、マニホールドをも石英等の非金属部材で形成する。さらに地震対策としてインナチューブの転倒を抑制すべくインナチューブ転倒抑制部材を設け、石英等の非金属部材で形成されたボルトで止める。このように構成された装置において、インナチューブの内部で基板を処理している最中に生成される反応生成物(副生成物)がインナチューブ転倒抑制部材付近に固着してしまうことがある。この反応生成物が固着した状態で、強引にインナチューブ転倒抑制部材を取外すと、石英等の非金属部材で形成されたボルトやマニホールドが割れてしまうという新たな課題が生じた。ボルトが割れ、マニホールドから取り外せなくなったり、マニホールドが割れたりすると、高価なマニホールドを交換、再製作しなければならない。
本発明の目的は、基板への金属汚染を抑制するとともに、インナチューブの転倒を抑制し、さらに、反応生成物の固着を抑制することができる基板処理装置及び半導体デバイスの製造方法を提供することにある。
本発明の一態様によれば、アウタチューブと、該アウタチューブに連結される非金属材で形成されるマニホールドと、該アウタチューブより内側で前記マニホールドに載置され内部で基板を処理するインナチューブと、前記アウタチューブより外側に設けられ、前記アウタチューブ内を加熱する加熱装置と、前記マニホールドの開口部に対して密閉部材を介して開閉する蓋体と、前記マニホールドに前記インナチューブの下端を介在して設けられ、前記加熱装置からの熱を吸収する非金属材で形成される熱吸収部材とを有する基板処理装置が提供される。
本発明の他の態様によれば、アウタチューブと、該アウタチューブに連結される非金属材で形成されるマニホールドと、該アウタチューブより内側で前記マニホールドに載置されたインナチューブの内部に基板を搬入しつつ前記マニホールドの開口部に対して密閉部材を介して蓋体で閉塞する工程と、加熱装置で前記インナチューブ内にある基板を加熱処理しつつ前記マニホールドに前記インナチューブの下端を介して設けられる非金属材で形成される熱吸収部材で前記加熱装置からの熱を吸収する工程と、を有する半導体装置の製造方法が提供される。
本発明によれば、基板への金属汚染を抑制するとともに、インナチューブの転倒を抑制し、さらに、反応生成物の固着を抑制することができる。
本発明の実施形態で用いられる基板処理装置の縦断面図である。 従来に係る基板処理装置の主要部を示す縦断面図であり(a)プロセス時(b)スタンバイ時を示す。 本発明の実施形態におけるマニホールド周辺を示す断面図である。 本発明の実施形態における断熱筒の形状を示す斜視図である。 本発明の実施形態における(a)断熱筒設置時の縦断面図(b)断熱筒の斜視図である。 本発明の実施形態における密閉部材周辺の温度比較を示す。 本発明の第2の実施形態における(a)断熱筒設置時の縦断面図(b)断熱筒の斜視図である。 本発明の第3の実施形態における基板処理装置の熱照射を示す縦断面図である。 本発明の第4の実施形態における(a)断熱筒設置時の縦断面図(b)断熱筒の斜視図である。 本発明の第5の実施形態における主要部の(a)縦断面図(b)斜視図である。 本発明の第6の実施形態における断熱筒の斜視図である。 本発明の第7の実施形態における(a)断熱筒の斜視図(b)断熱筒に装着されるボルトの斜視図である。
次に、本発明の実施形態を図面に基づいて説明する。
図1は本発明の実施形態で好適に用いられる基板処理装置10の処理炉12の概略構成図であり、縦断面図として示されている。
図1に示すように、処理炉12は加熱装置であるヒータ14を有する。ヒータ14は円筒形状であり、保持板としてのヒータベース16に支持されることにより垂直に据え付けられている。
ヒータ14の内側には反応管としてのプロセスチューブ18が、ヒータ14と同心円状に配設されている。プロセスチューブ18は外部反応管としてのアウタチューブ20と、内部反応管としてのインナチューブ22とから構成されている。
アウタチューブ20は、例えば石英(SiO2 )または炭化シリコン(SiC)の耐熱性材料が使用されて、内径がインナチューブ22の外径よりも大きい円筒形状に形成されている。アウタチューブ20は上端が閉塞し下端が開口した円筒形状に形成されている。
インナチューブ22は、例えば石英(SiO2 )または炭化シリコン(SiC)の耐熱性材料が使用されて、上端および下端が開口した円筒形状に形成されている。インナチューブ22の筒中空部は処理室24を形成している。処理室24はウエハ1を後述するボートによって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。
アウタチューブ20とインナチューブ22とは同心円状に設けられている。アウタチューブ20とインナチューブ22との隙間によって筒状空間26が形成されている。
アウタチューブ20の下側にはマニホールド28がアウタチューブ20と同心円状に配設されている。マニホールド28の上にはアウタチューブ20およびインナチューブ22が載置されている。プロセスチューブ18とマニホールド28とによって処理容器30が構成されている。
アウタチューブ20には排気管32が接続されており、排気管32から処理室24内の雰囲気を排気する。排気管32は筒状空間26の下端部に配置されており、筒状空間26に連通している。
排気管32のアウタチューブ20との接続側と反対側である下流側には、真空ポンプ等の排気装置34が圧力検出器としての圧力センサ36および圧力調整装置38を介して接続されている。排気装置34は処理室24内の圧力が所定の圧力(真空度)となるように排気する。
圧力センサ36および圧力調整装置38には圧力制御部40が電気配線Bによって電気的に接続されている。圧力制御部40は圧力調整装置38を、圧力センサ36により検出された圧力に基づいて、処理室24内の圧力が所望の圧力となるように、かつ、所望のタイミングをもって制御する。
処理容器30にはガス供給部42が処理室24内に連通するように設けられている。ガス供給部42にはガス供給管44が接続されている。
ガス供給管44にはガス供給部42との接続側と反対側である上流側に、ガス流量制御器としてのMFC(マスフローコントローラ)46が接続されており、MFC46はガス供給源48に接続されている。ガス供給源48は処理ガスや不活性ガスを供給する。
MFC46にはガス流量制御部50が電気配線Cによって電気的に接続されている。ガス流量制御部50はMFC46を、供給するガスの流量が所望の量となるように、かつ、所望のタイミングをもって制御する。
処理容器30の下方にはシールキャップ52が設けられている。シールキャップ52は処理室24の下端開口を気密に閉塞可能な蓋体を構成している。シールキャップ52は例えばステンレスやニッケル合金等の金属材料が使用されて円盤形状に形成されている。
シールキャップ52の処理室24側にはシールキャップカバー54が設けられている。シールキャップカバー54は、例えば石英のような非金属材料によって形成されている。シールキャップカバー54はシールキャップ52を被覆することにより、金属部分が処理室24側に露出するのを防止している。
シールキャップカバー54は処理容器30下面に垂直方向下側から当接する。
シールキャップ52には上面に例えばOリングからなる第1の密閉部材52aが設けられている。
シールキャップカバー54上面にも例えばOリングからなる第2の密閉部材54aが設けられている。
シールキャップ52には中央部に円形孔52bが開設されており、シールキャップカバー54にも中央部に円形孔54bが開設されている。シールキャップ52の円形孔52bと、シールキャップカバー54の円形孔54bとは重なり合っている。
シールキャップ52のシールキャップカバー54と反対側(下側)には、ボートを回転させる回転機構58が設置されている。回転機構58の回転軸58aはシールキャップ52の円形孔52bとシールキャップカバー54の円形孔54bに貫通して設けられ、ボート66に接続されている。回転機構58は、ボート66を回転させることでウエハ1を回転させるように構成されている。
基板保持具としてのボート66は、例えば石英や炭化珪素等の耐熱性材料によって形成されている。ボート66は複数枚のウエハ1を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持する。
なお、ボート66の下部には断熱部材としての断熱板68が複数枚、水平姿勢で多段に配置されている。この断熱板68は、例えば石英や炭化珪素等の耐熱性材料が使用されて円板形状に形成されている。断熱板68はヒータ14からの熱をシールキャップ52側に伝わり難くさせる。
図1に示すように、ベース70はボートエレベータ72のアーム74に支持されており、例えば、垂直に支持されている。
ボートエレベータ72はプロセスチューブ18の外部に垂直に設備されている。ボートエレベータ72はボートを垂直方向に昇降させる昇降機構である。すなわち、ボートエレベータ72はボート66を処理室24へ搬入したり、処理室24から搬出したりする。
回転機構58およびボートエレベータ72には駆動制御部76が電気配線Aによって電気的に接続されている。駆動制御部76は回転機構58およびボートエレベータ72を、所望の動作をするように、かつ、所望のタイミングをもって制御する。
プロセスチューブ18内には温度検出器としての温度センサ78が設置されている。
ヒータ14と温度センサ78には温度制御部80が電気配線Dによって電気的に接続されている。温度制御部80はヒータ14への通電具合を、温度センサ78によって検出された温度情報に基づき、処理室24内の温度が所望の温度分布となるように、かつ、所望のタイミングをもって制御する。
圧力制御部40、ガス流量制御部50、駆動制御部76および温度制御部80は、操作部および入出力部をも構成し、装置10全体を制御する主制御部82に電気的に接続されている。
圧力制御部40、ガス流量制御部50、駆動制御部76、温度制御部80および主制御部82はコントローラ84を構成している。
図2に、マニホールド28周辺の構造を示す。
マニホールド28は非金属部材としての石英が使用されて、円形リング形の扁平ブロック形状に形成されている。マニホールド28は透明または半透明に形成されている。
また、マニホールド28の上部には、段差が設けられ、下段には、インナチューブ22を載置する第1の載置部28aと、上段には、後述する熱吸収部材である断熱筒90を載置する第2の載置部28bが形成されている。
また、第2の載置部28bは、インナチューブ22の水平方向の位置を規制する位置規制部として周方向全周に形成されている。
なお、第2の載置部28bは周方向に全周に形成するのではなく、所定の間隔、好ましくは、等間隔で形成してもよい。
アウタチューブ20の開口部20aの下面であるマニホールド28の第一接合面110として、例えばマニホールド28の上面に周方向全周に形成された溝には、例えばOリングで形成される第3の密閉部材85が敷設されている。
したがって、マニホールド28はアウタチューブ20の開口部20aの下面に第3の密閉部材85を介して当接することで、処理容器30の開口部を気密に閉塞する。
図3に、本発明の実施形態に係る断熱筒90を備えた基板処理装置の主要部の縦断面図を示す。
図4に、本発明の実施形態に係る断熱筒90を示す。
断熱筒90は、熱吸収部材であり、円形リング形状に形成され、マニホールド28の第2の載置部28bとインナチューブ22の開口部の突起部22aの上面と接する下面90aを有する。
尚、断熱筒90は非金属部材としての不透明石英が使用されるが、透明石英にサンドブラスト処理(不透明研磨)を施したものでもよい。ただし、不透明石英の方が断熱(遮熱)効果や熱吸収効果が大きい。
また、断熱筒90は、一体形状に限らず、二分割、三分割等の分割形状でもよい。
また、断熱筒90は、インナチューブ22と同心円上に配置されている。
マニホールド28の第1の載置部28aにインナチューブ22が載置されている。断熱筒90の内面が、インナチューブ22の外面に当接し、マニホールド28の第2の載置部28bからインナチューブ22の開口部の突起部22aの上面にかけて断熱筒90の下面90aが載置されている。
尚、断熱筒90とインナチューブ22の熱膨張率が異なる場合には、断熱筒90の内面とインナチューブ22の外面とは当接せずに隙間を形成するように配置しても良い。
図5に、本発明の実施形態における断熱筒90の設置例を示す。
本発明の実施形態では、断熱筒90をインナチューブ22の地震対策となるよう設置する。
断熱筒90の下面90aにボルト穴90bとマニホールド28にタップ穴28cを数箇所設け、移動規制部材であるボルト91で固定する。これにより、金属汚染を防止することができ、断熱筒90の移動が規制され、さらにインナチューブ22の転倒が抑制される。而して、インナチューブ22の地震対策とすることができる。ボルト91は例えば石英ボルトが用いられる。
次に、以上の構成に係る基板処理装置10を用いる本発明の一実施の形態であるICの製造方法における成膜工程及びその後の動作を説明する。
なお、以下の説明において、基板処理装置10を構成する各部の動作はコントローラ84により制御される。
複数枚のウエハ1がボート66に装填(ウエハチャージ)されると、図1に示されているように、複数枚のウエハ1を保持したボート66は、ボートエレベータ72によって持ち上げられて処理室24に搬入(ボートローディング)される。
この状態で、シールキャップ52は第1の密閉部材52a、シールキャップカバー54および第2の密閉部材54aを介してマニホールド28の下面をシールした状態となる。
処理室24内が所望の圧力(真空度)となるように排気装置34によって排気される。この際、処理室24内の圧力は圧力センサ36で測定され、この測定された圧力に基づき圧力調節装置38がフィードバック制御される。
また、処理室24内が所望の温度となるようにヒータ14によって加熱される。この際、処理室24内が所望の温度分布となるように、温度センサ78が検出した温度情報に基づきヒータ14への通電具合がフィードバック制御される。さらに、この際、マニホールド28周辺は、マニホールド28上面にインナチューブ22の下端を介して設けられた断熱筒90によって、ヒータ14からの熱を吸収、遮蔽している。
続いて、回転機構58によってボート66が回転されることにより、ウエハ1が回転される。
ガス供給源48から供給されMFC46によって所望の流量となるように制御されたガスは、ガス供給管44を流通してガス供給部42から処理室24内に導入される。
導入されたガスは処理室24内を上昇し、インナチューブ22の上端開口から筒状空間26に流出して排気管32から排気される。
ガスは処理室24内を通過する際にウエハ1の表面と接触し、この際に熱CVD反応によってウエハ1の表面上に薄膜が堆積(デポジション)される。
予め設定された処理時間が経過すると、ガス供給源48から不活性ガスが供給されて、処理室24内が不活性ガスに置換されるとともに、処理室24内の圧力が常圧に復帰される。
その後、ボートエレベータ72によってシールキャップ52が下降されて、処理室24の下端が開口されるとともに、処理済ウエハ1がボート66に保持された状態で、処理室24の外部に搬出(ボートアンローディング)される。
その後、処理済ウエハ1はボート66から取出される(ウエハディスチャージ)。
本実施の形態において、ウエハ1への金属汚染を防止する目的で処理室24内の金属を最小限にするという観点から、マニホールド28は石英製を使用している。図2(a)に示すように、処理室にて基板を処理する工程としてのプロセス時には、複数枚のウエハ1と複数枚の不透明石英製の断熱板68がインナチューブ22内部に設置されているため、第3の密閉部材85にヒータ14からの熱が直接あたらず、第3の密閉部材85の温度は耐熱温度以下となる。しかし、図2(b)に示すように、処理室にて基板を処理しない、例えば基板を処理する前段階の工程としてのスタンバイ時には、インナチューブ22内部にウエハ1と断熱板68が設置されないため、ヒータ14からの放射熱が直接第3の密閉部材85に照射される。特に、第3の密閉部材85が石英製のマニホールド28の溝に設置されているため、熱が逃げにくく、第3の密閉部材85の温度が耐熱温度以上となってしまい、熱劣化し、シール性能を保てなくなっていた。さらには熱劣化が進むと溶解し、基板の汚染につながる有機物を含むアウトガスを発生させていた。
また、マニホールド28にボルト止めしてインナチューブ転倒抑制部材を設けた場合には、インナチューブ22の内部で基板を処理している最中に生成される反応生成物(副生成物)がインナチューブ転倒抑制部材付近に固着してしまうことがあり、この反応生成物が固着した状態で強引にインナチューブ転倒抑制部材を取外すと非金属部材で形成されたボルトやマニホールドが割れてしまうことがあった。
そこで、本実施の形態では、スタンバイ時でも第3の密閉部材85に直接ヒータ14からの放射熱が照射されにくくなるようにインナチューブ22とアウタチューブ20下部の間に不透明製の断熱筒90を設置する。この断熱筒90により、熱源からの放射熱を遮蔽し、第3の密閉部材85の温度上昇を抑えることができる。これにより、第3の密閉部材85のシール性能を保ちつつ、熱による溶解を抑制することができる。また、さらには、断熱筒90をインナチューブ22の地震対策となるように設置する。これにより、インナチューブ転倒抑制部材としても断熱筒90が機能し、かつ断熱筒90が熱を吸収するため、熱を帯びた状態となり、インナチューブ転倒抑制部材としての断熱筒90が熱吸収部材として機能し、断熱筒90付近への反応生成物の付着を抑制することができる。
図6に示すように、本発明の実施形態における基板処理装置10において、熱源であるヒータ14を700℃に設定し、断熱筒90を使用しない場合と使用した場合における第3の密閉部材85周辺および断熱筒90周辺の温度を比較した。
第3の密閉部材85の上方におけるアウタチューブ20の開口部付近の温度は、断熱筒90を使用しない場合では、278.7℃であったのに対して、断熱筒90を使用した場合では、255.2℃であった。すなわち、断熱筒90を使用したことによる温度低減効果は、−23.5℃であった。
また、第3の密閉部材85付近の温度は、断熱筒90を使用しない場合では、265.9℃であったのに対して、断熱筒90を使用した場合では、250.5℃であった。すなわち、断熱筒90を使用したことによる温度低減効果は、−15.4℃であった。
また、第3の密閉部材85の下方におけるマニホールド28付近の温度は、断熱筒90を使用しない場合では、244.7℃であったのに対して、断熱筒90を使用した場合では、232.7℃であった。すなわち、断熱筒90を使用したことによる温度低減効果は、−12.0℃であった。
したがって、第3の密閉部材85周辺のいずれの箇所においても、断熱筒90を使用することで、温度上昇を抑えることができた。
また、断熱筒90のマニホールド28側の温度は、断熱筒90を使用しない場合では、310.1℃であったのに対して、断熱筒90を使用した場合では、350.2℃であった。すなわち、断熱筒90を使用したことによる温度上昇効果は、40.1℃であった。
したがって、断熱筒90周辺においては、温度上昇させることで反応副生成物の固着を抑制することができる。
また、例えばスループットを向上させるべく、プロセス終了後すぐにヒータ14の温度をさげると、アウタチューブ20とインナチューブ22の間の残留ガスが固化し、断熱筒90やインナチューブ22を抑えるボルト91等を固着してしまうことがあった。しかし、断熱筒90が熱を吸収し、熱を帯びているため、残留ガスが断熱筒90やボルト91等と接触した際に残留ガスの固化を抑制することができる。これにより、断熱筒90とインナチューブ22の取り外しを容易にすることができる。
図7に、本発明の第2の実施形態における断熱筒90の設置例を示す。
第2の実施形態では、断熱筒90の下面90aの外周部から垂直方向下方に突出する移動規制部材としての下部円筒部90cを設ける。そして、断熱筒90の下部円筒部90cをマニホールド28の第2の載置部28bに形成された溝28dに差し込む。これにより断熱筒90の横の動きと斜め上方の動きを抑制することができる。同時にインナチューブ22の横の動きを断熱筒90の下部円筒部90cと第2の載置部28bに形成された溝28dで抑制し、断熱筒90の斜め上方への動きも抑制することができる。尚、好ましくは円筒部90cの厚みAと、溝28dの深さBとの関係がA<Bとする。このように設定することで、インナチューブ22が上方に移動した際に生じる回転モーメントを抑えることができる。
第2の実施形態によれば、第1の実施形態の効果に加え、さらに第1の実施形態のように断熱筒90をマニホールド28にボルト止めすると、熱及び副生成物による影響によりボルトがマニホールドに噛んでしまい取外せなくなることがあり、マニホールドを交換せねばならないこともあるが、本実施の形態によれば、ボルトを用いることがないため、上述の取外し困難な問題を回避し、より取付け取外し作業を容易にすることができる。
本発明の第3の実施形態として、図8に示すように、断熱筒90の高さを、ヒータ14の上端から下端に至るまで、それぞれのヒータ14すなわち、発熱体からの熱線が直接第3の密閉部材85に至らせないように発熱体からの熱線を遮る高さ以上の高さに設定する。すなわち、ヒータ14の上端14aと第3の密閉部材85とを結ぶ線(熱線)より断熱筒90の高さを高く設定する。これにより、第3の密閉部材85の温度上昇を抑制することができる。
ここで、断熱筒90を設けることで、炉心から離れた位置にある排気管32が低温になり副生成物が付着することがある。そこで、本発明の第4の実施形態では、図9に示すように、断熱筒90の排気管32に対向する部位が上方切欠かれている切欠き部90dを設ける。これにより、部分的に排気管32にヒータ14からの熱線を受け、部分的に排気管32の温度を上昇させることができる。而して、排気管32への副生成物の付着を防止することができる。特に、排気管32内の排気口近傍下方は、排気経路が湾曲しているため、副生成物が付着しやすいが、切欠き部90dの切欠き底面の高さを排気管32の排気口近傍下方にヒータ14からの熱線が直接至る高さに設定するとより一層副生成物の付着を抑制することができる。
ちなみに、排気管32の外周に配管ヒータを設けることは、コストが高くなる、スペースが無駄になる、制御が複雑になってしまうといった問題がある。しかし、本発明の第4の実施形態によれば、それらの問題の発生を回避できる。
また、切欠き部90d周辺の密閉部材の温度上昇を防ぐため、切欠き部90dを設けた直下の断熱筒90の厚みを大きくしてもよい。これにより、排気管32周辺の第3の密閉部材85の温度上昇を防ぐことができる。
図10に、本発明の第5の実施形態を示す。
第5の実施形態では、マニホールド28のアウタチューブ20を設置する面にザグリ穴28eを設け、マニホールド28の第2の載置部28bの側壁に貫通孔28fを設ける。ザグリ穴28eおよび貫通孔28fにインナチューブ22を抑える移動規制部材としてのインナチューブピン95を設置する。これにより、インナチューブ22の横方向のずれが防止でき、インナチューブピン95を挿入することにより、インナチューブ22を縦方向のずれから防止することができる。
以上によれば、ボルト止めの場合、副生成物が付着することで、取り外しにくくなるが、ピン形状とすることで、副生成物が付着した場合であっても、容易に取外すことができる。また、ウエハへの金属汚染を最小限にして、地震発生時の処理室内の石英部品を保護することができる。
図11に、本発明の第6の実施形態を示す。
第1の実施形態では、断熱筒90をボルト91で固定する際に、マニホールド28に設けられたタップ穴28cをボルト穴90bの隙間から覗き込まなければない。また、インナチューブ22の筒部が大きいのに対し、断熱筒90の下端フランジ部としての下面90aが小さいため、覗きにくくなる。また、アウタチューブ20とインナチューブ22との間の狭小なスペースであるため、断熱筒90の下面90aとボルト91のサイズは大きくできない。第6の実施形態では、第1の実施形態の断熱筒90の下端フランジ部である下面90aに設けられたボルト穴90bに替えて、下面90aに下面90aの外周から半径方向に切りかかれた切欠き90eを設ける。そして、移動規制部材であるボルト91を切欠き90eを介して、マニホールド28のタップ穴28cに固定し、断熱筒90の移動を規制する。これにより、当該ボルト91をマニホールド28のタップ穴28cに固定する際に下端フランジ部側方からタップ位置を視認することができるため、作業性が向上し、下端フランジ部のサイズを小さくすることができる。
図12に、本発明の第7の実施形態を示す。
上述の実施形態において、断熱筒90をボルト91で固定する際に、装置より離れた場所にて断熱筒90をボルト91にてマニホールド28に固定した後で、装置まで運ぶことがある。この運搬時の振動によりボルト91が破損することがある。第7の実施形態では、第1の実施形態及び上述の第6の実施形態において、ボルト91を移動規制部材であるボルト92を使用する。ボルト92は、段付ボルトであり、マニホールド28のタップ穴28cに挿入される下部92cと切欠き90eに挿入される中部92bと頭部92aから構成される。中部92bは、下部92cより直径が大きく、切欠き90eより小さく構成される。また、中部92bは、断熱筒90の下端フランジ部の厚みにくらべて長く構成される。頭部92aは、中部92bより直径が大きく、かつ、切欠き90eより大きく構成される。すなわち、断熱筒90の下端フランジ部である下面90aとボルト92の頭部92aとの間に隙間を設ける。これにより、振動による力が直接ボルト92に伝わることを防ぎ、ボルト92の破損を防ぐことができる。
本発明は、半導体製造技術、特に、被処理基板を処理室に収容してヒータによって加熱した状態で処理を施す熱処理技術に関し、例えば、半導体集積回路装置(半導体デバイス)が作り込まれる半導体ウエハに酸化処理や拡散処理、イオン打ち込み後のキャリア活性化や平坦化のためのリフローやアニール及び熱CVD反応による成膜処理などに使用される基板処理装置に利用して有効なものに適用することができる。
本発明の一態様によれば、アウタチューブと、該アウタチューブに連結される非金属材で形成されるマニホールドと、該アウタチューブより内側で前記マニホールドに載置され内部で基板を処理するインナチューブと、前記アウタチューブより外側に設けられ、前記アウタチューブ内を加熱する加熱装置と、前記マニホールドの開口部に対して密閉部材を介して開閉する蓋体と、前記マニホールドに前記インナチューブの下端を介在して設けられ、前記加熱装置からの熱を吸収する非金属材で形成される熱吸収部材とを有する基板処理装置が提供される。
好ましくは、前記アウタチューブもしくは前記マニホールドに排気管が設けられており、前記熱吸収部材の前記排気管に対向する部位が上方切欠かれている切欠き部を有する。
好ましくは、前記マニホールドの上部に段差が設けられ、該段差の下段には、前記インナチューブを載置する第一の載置部を有し、前記段差の上段には、前記熱吸収部材を載置する第二の載置部を有し、該第二の載置部には、前記熱吸収部材の移動を規制する移動規制部が設けられている。
好ましくは、前記マニホールドの上部に段差が設けられ、該段差の下段には、前記インナチューブを載置する第一の載置部を有し、前記段差の上段には、前記熱吸収部材を載置する第二の載置部を有し、前記熱吸収部材の内面が、前記インナチューブの外面に間隙を介して設けられており、前記第二の載置部から前記第一の載置部に載置された前記インナチューブの開口部側に設けられる下面にかけて前記熱吸収部材が設けられており、前記熱吸収部材の前記下面には、半径方向に切りかかれた切欠き部が形成されており、該切欠き部に前記熱吸収部材の移動を規制する移動規制部材が設けられている。
本発明の他の態様によれば、アウタチューブと、該アウタチューブに連結される非金属材で形成されるマニホールドと、該アウタチューブより内側で前記マニホールドに載置されたインナチューブの内部に基板を搬入しつつ前記マニホールドの開口部に対して密閉部材を介して蓋体で閉塞する工程と、加熱装置で前記インナチューブ内にある基板を加熱処理しつつ前記マニホールドに前記インナチューブの下端を介して設けられる非金属材で形成される熱吸収部材で前記加熱装置からの熱を吸収する工程と、を有する半導体装置の製造方法が提供される。
好ましくは、前記マニホールドは、透明石英部材で形成されている。
好ましくは、前記熱吸収部材は、不透明石英部材で形成されている。
好ましくは、前記熱吸収部材は、突出部を有し、該突出部が前記マニホールドに設けられる溝に挿入されて設けられている。
好ましくは、前記熱吸収部材は、前記加熱装置に設けられる前記基板を加熱する発熱体の上端と前記密閉部材とを結ぶ熱線を遮る位置まで少なくとも延在されている。
好ましくは、前記熱吸収部材は、前記インナチューブと同心円状に配置されている。
本発明のさらに他の態様によれば、アウタチューブと、該アウタチューブに連結されるマニホールドと、該アウタチューブより内側で前記マニホールドに載置され内部で基板を処理するインナチューブと、前記アウタチューブより外側に設けられ、前記アウタチューブ内を加熱する加熱装置と、前記マニホールドの開口部に対して密閉部材を介して開閉する蓋体と、前記インナチューブの下端を押える押え部材と、を有し、前記インナチューブの下端外側に鍔部が形成されており、前記マニホールドには前記インナチューブを載置するインナチューブ載置部と該インナチューブ載置部より外周で、前記インナチューブ載置部に対して前記鍔部の高さより高く形成される突出部と、前記突出部より外周に設けられる溝部とを有し、前記押え部材は、一端を前記突出部に挿入されるとともに他端を前記溝部に挿入されている基板処理装置が提供される。
本発明のさらに他の態様によれば、アウタチューブと、該アウタチューブに連結されるマニホールドと、該アウタチューブより内側で前記マニホールドに載置され内部で基板を処理するインナチューブと、前記アウタチューブより外側に設けられ、前記アウタチューブ内を加熱する加熱装置と、前記マニホールドの開口部に対して密閉部材を介して開閉する蓋体と、前記インナチューブの下端を押える押え部材と、を有し、前記インナチューブの下端外側に鍔部が形成されており、前記マニホールドには前記インナチューブを載置するインナチューブ載置部と該インナチューブ載置部より外周で、前記インナチューブ載置部に対して前記鍔部の高さより高く形成される突出部と、前記突出部より外周に設けられる溝部とを有し、前記押え部材は、一端を前記突出部に挿入されるとともに他端を前記溝部に挿入されている基板処理装置が提供される。
好ましくは、前記マニホールドは、透明石英部材で形成されている。
1 ウエハ
10 基板処理装置
12 処理炉
14 ヒータ
18 プロセスチューブ
20 アウタチューブ
22 インナチューブ
24 処理室
28 マニホールド
28a 第1の載置部
28b 第2の載置部
30 処理容器
52 シールキャップ
85 第3の密閉部材
90 断熱筒
90a 下面
91、92 ボルト

Claims (5)

  1. アウタチューブと、
    該アウタチューブに連結される非金属材で形成されるマニホールドと、
    該アウタチューブより内側で前記マニホールドに載置され内部で基板を処理するインナチューブと、
    前記アウタチューブより外側に設けられ、前記アウタチューブ内を加熱する加熱装置と、
    前記マニホールドの開口部に対して密閉部材を介して開閉する蓋体と、
    前記マニホールドに前記インナチューブの下端を介在して設けられ、前記加熱装置からの熱を吸収する非金属材で形成される熱吸収部材と、
    を有し、
    前記アウタチューブ又は前記マニホールドに排気管が設けられており、前記熱吸収部材は、前記熱吸収部材の前記排気管に対向する部位が上方切欠かれている切欠き部を有する基板処理装置。
  2. 前記マニホールドの上部に段差が設けられ、該段差の下段には、前記インナチューブを載置する第一の載置部を有し、前記段差の上段には、前記熱吸収部材を載置する第二の載置部を有し、該第二の載置部には、前記熱吸収部材の移動を規制する移動規制部が設けられている請求項1記載の基板処理装置。
  3. アウタチューブと、
    該アウタチューブに連結される非金属材で形成されるマニホールドと、
    該アウタチューブより内側で前記マニホールドに載置され内部で基板を処理するインナチューブと、
    前記アウタチューブより外側に設けられ、前記アウタチューブ内を加熱する加熱装置と、
    前記マニホールドの開口部に対して密閉部材を介して開閉する蓋体と、
    前記マニホールドに前記インナチューブの下端を介在して設けられ、前記加熱装置からの熱を吸収する非金属材で形成される熱吸収部材と、
    を有し、
    前記マニホールドの上部に段差が設けられ、該段差の下段には、前記インナチューブを載置する第一の載置部を有し、前記段差の上段には、前記熱吸収部材を載置する第二の載置部を有し、前記熱吸収部材の内面が、前記インナチューブの外面に間隙を介して設けられており、前記第二の載置部から前記第一の載置部に載置された前記インナチューブの開口部側に設けられる下面にかけて前記熱吸収部材が設けられており、前記熱吸収部材の下面には、半径方向に切りかかれた切欠き部が形成されており、該切欠き部に前記熱吸収部材の移動を規制する移動規制部材が設けられている基板処理装置。
  4. アウタチューブより内側で該アウタチューブに連結される非金属材で形成されるマニホールドに載置されたインナチューブの内部に基板を搬入する工程と、
    前記マニホールドの開口部密閉部材を介して蓋体で閉塞する工程と、
    前記マニホールドに前記インナチューブの下端を介して設けられる非金属材で形成され、前記アウタチューブ又は前記マニホールドに設けられた排気管に対向する部位が上方切欠かれている熱吸収部材で加熱装置からの熱を吸収しつつ、前記加熱装置で前記インナチューブ内にある基板を加熱処理する工程と、
    を有する半導体デバイスの製造方法。
  5. アウタチューブより内側で該アウタチューブに連結される非金属材で形成されるマニホールドに載置されたインナチューブの内部に基板を搬入する工程と、
    前記マニホールドの開口部密閉部材を介して蓋体で閉塞する工程と、
    前記マニホールドの上部に段差が設けられ、該段差の下段には前記インナチューブを載置し、前記段差の上段には、前記マニホールドに前記インナチューブの下端を介在して設けられ、加熱装置からの熱を吸収する非金属材で形成される熱吸収部材を載置し、前記熱吸収部材の内面が前記インナチューブの外面に間隙を介して設けられ、前記インナチューブの開口部側に設けられる下面にかけて前記熱吸収部材が設けられ、前記熱吸収部材の下面には、半径方向に切りかかれた切欠き部が形成され、該切欠き部に前記熱吸収部材の移動を規制する移動規制部材が設けられている熱吸収部材で前記加熱装置からの熱を吸収しつつ、前記加熱装置で前記インナチューブ内にある基板を加熱処理する工程と、
    を有する半導体デバイスの製造方法。
JP2009165679A 2008-08-27 2009-07-14 基板処理装置および半導体デバイスの製造方法 Expired - Fee Related JP5593472B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009165679A JP5593472B2 (ja) 2008-08-27 2009-07-14 基板処理装置および半導体デバイスの製造方法
US12/548,066 US8076615B2 (en) 2008-08-27 2009-08-26 Substrate processing apparatus and method of manufacturing semiconductor device
TW098128603A TWI387666B (zh) 2008-08-27 2009-08-26 基板處理裝置及半導體裝置之製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008218003 2008-08-27
JP2008218003 2008-08-27
JP2009165679A JP5593472B2 (ja) 2008-08-27 2009-07-14 基板処理装置および半導体デバイスの製造方法

Publications (2)

Publication Number Publication Date
JP2010080923A JP2010080923A (ja) 2010-04-08
JP5593472B2 true JP5593472B2 (ja) 2014-09-24

Family

ID=41723782

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009165679A Expired - Fee Related JP5593472B2 (ja) 2008-08-27 2009-07-14 基板処理装置および半導体デバイスの製造方法

Country Status (3)

Country Link
US (1) US8076615B2 (ja)
JP (1) JP5593472B2 (ja)
TW (1) TWI387666B (ja)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008100917A1 (en) * 2007-02-16 2008-08-21 Caracal, Inc. Epitaxial growth system for fast heating and cooling
JP5188326B2 (ja) * 2008-08-28 2013-04-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US20110249960A1 (en) * 2011-01-28 2011-10-13 Poole Ventura, Inc. Heat Source Door For A Thermal Diffusion Chamber
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5922534B2 (ja) * 2012-09-10 2016-05-24 光洋サーモシステム株式会社 熱処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102063607B1 (ko) * 2013-03-12 2020-02-11 삼성전자주식회사 웨이퍼 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
JP2015133405A (ja) * 2014-01-14 2015-07-23 日立金属株式会社 半導体製造装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10224222B2 (en) * 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6579974B2 (ja) * 2015-02-25 2019-09-25 株式会社Kokusai Electric 基板処理装置、温度センサ及び半導体装置の製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11175095B2 (en) 2015-09-03 2021-11-16 Ardent Life Inc. Insert for waterless portable precision heating device
US10871327B2 (en) * 2015-09-03 2020-12-22 Ardent Llc Waterless portable precision heating device
US10710038B2 (en) 2015-09-03 2020-07-14 Ardent Llc Waterless decarboxylation
JP5951095B1 (ja) * 2015-09-08 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6462161B2 (ja) * 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6952595B2 (ja) * 2017-12-20 2021-10-20 東京エレクトロン株式会社 縦型熱処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP6749954B2 (ja) 2018-02-20 2020-09-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7023147B2 (ja) * 2018-03-13 2022-02-21 東京エレクトロン株式会社 断熱構造体及び縦型熱処理装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN114902384A (zh) * 2020-03-19 2022-08-12 株式会社国际电气 基板处理装置、隔热件组件及半导体装置的制造方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115132624A (zh) * 2022-07-13 2022-09-30 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2889649B2 (ja) * 1990-04-09 1999-05-10 東京エレクトロン株式会社 熱処理装置
JP3498811B2 (ja) * 1994-10-20 2004-02-23 東京エレクトロン株式会社 縦型熱処理装置
JPH11111632A (ja) * 1997-10-02 1999-04-23 Tokyo Electron Ltd 縦型熱処理装置
JP4434334B2 (ja) 1998-07-13 2010-03-17 株式会社日立国際電気 Cvd装置および膜の形成方法
JP2002334868A (ja) 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2002353145A (ja) 2001-05-23 2002-12-06 Hitachi Kokusai Electric Inc 熱処理装置

Also Published As

Publication number Publication date
TW201016886A (en) 2010-05-01
US8076615B2 (en) 2011-12-13
JP2010080923A (ja) 2010-04-08
US20100051597A1 (en) 2010-03-04
TWI387666B (zh) 2013-03-01

Similar Documents

Publication Publication Date Title
JP5593472B2 (ja) 基板処理装置および半導体デバイスの製造方法
JP5188326B2 (ja) 半導体装置の製造方法、基板処理方法、及び基板処理装置
TWI611043B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
US8851886B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR100881786B1 (ko) 처리 장치
JP6815526B2 (ja) 基板処理装置、ヒータ装置、半導体装置の製造方法
CN110870050A (zh) 衬底处理装置、石英反应管、清洁方法以及程序
TWI642137B (zh) Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
JP2012023073A (ja) 基板処理装置および基板の製造方法
JP2008118103A (ja) 基板処理装置及び半導体装置の製造方法
JP2010056249A (ja) 基板処理装置及び半導体装置の製造方法
JP2011021253A (ja) 成膜装置
JP2011003689A (ja) 基板処理装置
JP2010056124A (ja) 基板処理装置および半導体装置の製造方法
JP2010053393A (ja) 基板処理装置
JP3910751B2 (ja) 半導体ウェーハの高温高圧処理装置
CN110010526B (zh) 衬底处理装置以及半导体器件的制造方法
JP2011198957A (ja) 基板処理装置及び基板保持体及び半導体装置の製造方法
JP2010040919A (ja) 基板処理装置
JP2008311587A (ja) 基板処理装置
JP2714576B2 (ja) 熱処理装置
JP2010272720A (ja) 基板処理装置及び半導体装置の製造方法
JP2011129567A (ja) 熱処理装置
JP2005209754A (ja) 基板処理装置
JP2010034196A (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131028

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140402

R150 Certificate of patent or registration of utility model

Ref document number: 5593472

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees