TWI387666B - 基板處理裝置及半導體裝置之製造方法 - Google Patents

基板處理裝置及半導體裝置之製造方法 Download PDF

Info

Publication number
TWI387666B
TWI387666B TW098128603A TW98128603A TWI387666B TW I387666 B TWI387666 B TW I387666B TW 098128603 A TW098128603 A TW 098128603A TW 98128603 A TW98128603 A TW 98128603A TW I387666 B TWI387666 B TW I387666B
Authority
TW
Taiwan
Prior art keywords
manifold
inner tube
tube
heat absorbing
outer tube
Prior art date
Application number
TW098128603A
Other languages
English (en)
Other versions
TW201016886A (en
Inventor
Shinya Morita
Koichi Sada
Takayuki Nakada
Tomoyuki Matsuda
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201016886A publication Critical patent/TW201016886A/zh
Application granted granted Critical
Publication of TWI387666B publication Critical patent/TWI387666B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

基板處理裝置及半導體裝置之製造方法
本發明係有關於基板處理裝置及半導體裝置之製造方法。
作為基板處理裝置的一例,有半導體製造裝置,又作為半導體製造裝置的一例,已知立式擴散、CVD(Chemical Vapor Deposition)裝置。
此立式擴散、CVD裝置具備有:外管、設置於外管之內側並形成處理室的內管、對外管內加熱的加熱裝置(heater)、連接載置外管及內管並將處理室進行排氣的排氣管及對處理室供給氣體的氣體引入管的歧管、以及沿垂直方向整列複數片晶圓整列、保持並搬入處理室的晶舟。
而,將保持複數片晶圓之晶舟從下端的爐口搬入處理室(boat loading),並從氣體引入管將成膜氣體供給處理室,同時利用加熱裝置對處理室加熱,藉此,堆積CVD膜於晶圓之上。
在這種立式擴散、CVD裝置,如專利文獻1所示,由金屬形成歧管(爐口凸緣)。
在金屬製歧管的情況下,因為板厚薄,熱容量亦小,所以易向爐口外散熱。
[專利文獻]
[專利文獻1]特開2002-334868號公報
可是,在使用金屬製歧管的CVD裝置中,因反應氣體及清潔氣體所引起之反應產生物的附著,而有金屬製歧管被腐蝕的問題。
又,隨著IC的微細化,在使用金屬製歧管的CVD裝置,來自金屬製歧管之金屬的放出成為問題。
因此,為了抑制對應處理之基板的金屬污染,使對反應室內露出的金屬元件變成最低限度,不僅外管、內管,而且連歧管亦以石英等之非金屬材料形成。此外,作為地震對策,為了抑制內管的翻倒,設置內管翻倒抑制構件,並以由石英等之非金屬材料所形成的螺栓固定。於如此構成之裝置中,在內管的內部處理基板中所產生之反應產生物(副產生物)可能黏附於內管翻倒抑制構件附近。在此反應產生物黏附之狀態,強迫地拆下內管翻倒抑制構件時,產生由石英等的非金屬材料所形成之螺栓或歧管裂開之新的課題。螺栓裂開,而無法從歧管拆下,或歧管裂開時,必須更換並再製作昂貴的歧管。
本發明之目的在於提供一種基板處理裝置及半導體裝置之製造方法,該裝置可抑制對基板的金屬污染,同時抑制內管的翻倒,以及抑制反應產生物的黏附。
依據本發明的一形態,提供一種基板處理裝置,其具有:外管;歧管,係由和該外管連結的非金屬材料所形成;內管,係在比該外管更內側被載置於該歧管,並在內部處理基板;加熱裝置,係設置於比該外管更外側,並對該外管內部加熱;蓋體,係經由密閉構件對該歧管的開口部進行開閉;以及吸熱構件,係設置於該歧管且該內管的下端插置於該吸熱構件及該歧管之間,該吸熱構件吸收來自該加熱裝置之熱且由非金屬材料所形成。
依據本發明之其他的形態,提供一種半導體裝置之製造方法,該半導體裝置包含:外管、由和該外管連結之非金屬材料所形成的歧管以及在比該外管更內側被載置於該歧管的內管,該製造方法包含:封閉步驟,係一面將基板搬入該內管的內部,並一面經由密閉構件以蓋體對該歧管的開口部封閉;以及吸熱步驟,係一面以加熱裝置對位於該內管內的基板進行加熱處理,一面以由非金屬材料所形成的吸熱構件吸收來自該加熱裝置的熱,該吸熱構件設置於該歧管,且該內管的下端插置於該吸熱構件及該歧管之間。
依據本發明,可抑制對基板的金屬污染,同時抑制內管的翻倒,以及抑制反應產生物的黏附。
其次,根據圖面,說明本發明之實施形態。
第1圖係適合用在本發明之實施形態之基板處理裝置10之處理爐12的示意構成圖,並以縱剖面圖表示。
如第1圖所示,處理爐12具有屬於加熱裝置的加熱器14。加熱器14是圓筒形,藉由由作為保持板的加熱器底座16支持而垂直地安裝。
在加熱器14的內側,將作為反應管的處理管18配設成和加熱器14成同心圓形。處理管18由作為外部反應管的外管20和作為內部反應管的內管22和所構成。
外管20使用例如石英(SiO2 )或碳化矽(SiC)之耐熱性材料,並形成為內徑比內管22的外徑更大的圓筒形。外管20形成為上端封閉而下端開口的圓筒形。
內管22使用堆積例如石英(SiO2 )或碳化矽(SiC)之耐熱性材料,並形成為上端及下端開口的圓筒形。內管22的筒中空部形成處理室24。處理室24構成為能利用後述之晶舟將晶圓1以水平姿勢沿垂直方向多段地整列之狀態收容。
外管20和內管22設置成同心圓形。由外管20和內管22的間隙形成筒狀空間26。
在外管20的下側,和外管20成同心圓形地配設歧管28。將外管20及內管22載置於歧管28之上。由處理管18和歧管28構成處理容器30。
在外管20連接排氣管32,從排氣管32排出處理室24內的空氣。排氣管32配置於筒狀空間26的下端部,並和筒狀空間26連通。
在排氣管32之是和外管20的連接側之反側的下游側,經由作為壓力檢測器的壓力感測器36及壓力調整裝置38而連接真空泵等的排氣裝置34。排氣裝置34進行排氣,以使處理室24內的壓力變成既定之壓力(真空度)。
在壓力感測器36及壓力調整裝置38,利用電氣配線B以電氣連接壓力控制部40。壓力控制部40根據壓力感測器36所檢測之壓力控制壓力調整裝置38,以使處理室24內的壓力變成所要之壓力,而且具有所要之時序。
在處理容器30中,將氣體供給部42設置成和處理室24內連通。氣體供給管44和氣體供給部42連接。
在氣體供給管44之和氣體供給部42的連接側相反側的上游側,連接作為氣體流量控制器的MFC(質量流量控制器)46,而MFC46和氣體供給源48連接。氣體供給源48供給處理氣體或惰性氣體。
在MFC46,利用電氣配線C以電氣連接氣體流量控制部50。氣體流量控制部50控制MFC46,以使所供給之氣體的流量變成所要的量,而且具有所要之時序。
密封蓋52設置於處理容器30的下方。密封蓋52構成可氣密地封閉處理室24之下端開口的蓋體。密封蓋52例如使用不銹鋼或鎳合金等金屬材料,形成為圓盤形。
密封蓋套54設置於密封蓋52的處理室24側。
密封蓋套54例如由如石英的非金屬材料所形成。
密封蓋套54藉由被覆密封蓋52,而防止金屬部分在處理室24側露出。
密封蓋套54從垂直方向下側和處理容器30下面抵接。
在密封蓋52中,例如由O環所構成之第1密閉構件52a設置於上面。
在密封蓋套54的上面亦設置例如由O環所構成之第2密閉構件54a。
於密封蓋52,在中央部鑽設圓孔52b,於密封蓋套54,亦在中央部鑽設圓孔54b。密封蓋52的圓孔52b和密封蓋套54的圓孔54b重疊。
在密封蓋52之和密封蓋套54相反側(下側),設置使晶舟旋轉的旋轉機構58。旋轉機構58的轉軸58a設置成貫穿密封蓋52的圓孔52b和密封蓋套54的圓孔54b,並和晶舟66連接。旋轉機構58構成為藉由使晶舟66旋轉而使晶圓1旋轉。
作為基板保持具的晶舟66例如由石英或碳化矽等的耐熱性材料所形成。晶舟66使複數片晶圓1以水平姿勢且彼此中心對齊之狀態進行整列,並多段地保持。
此外,在晶舟66的下部,以水平姿勢多段地配置複數片作為隔熱構件的隔熱板68。此隔熱板68例如使用石英或碳化矽等的耐熱性材料,形成圓板形。隔熱板68使來自加熱器14的熱難傳至密封蓋52側。
如第1圖所示,底板70由晶舟昇降器72的臂74支持,例如,被垂直地支持。
晶舟昇降器72垂直地設置於處理管18的外部。晶舟昇降器72是使晶舟在垂直方向昇降的昇降機構。即,晶舟昇降器72向處理室24搬入或從處理室24搬出晶舟66。
在旋轉機構58及晶舟昇降器72中利用電氣配線A以電氣連接驅動控制部76。驅動控制部76控制旋轉機構58及晶舟昇降器72,使其進行所要的動作,而且具有所要之時序。
作為溫度檢測器的溫度感測器78設置於處理管18內。
在加熱器14和溫度感測器78中,利用電氣配線D以電氣連接溫度控制部80。溫度控制部80根據由溫度感測器78所檢測之溫度資訊,控制對加熱器14的通電程度,以使處理室24內的溫度變成所要之溫度分布,而且具有所要之時序。
壓力控制部40、氣體流量控制部50、驅動控制部76以及溫度控制部80亦構成操作部及輸出入部,並和控制裝置10整體的主控制部82以電氣連接。
壓力控制部40、氣體流量控制部50、驅動控制部76、溫度控制部80以及主控制部82構成控制器84。
第2圖表示歧管28周邊之構造。
歧管28使用作為非金屬材料的石英,並形成為圓環形的扁平塊狀。歧管28形成為透明或半透明。
又,在歧管28的上部設置段差,而在下段形成載置內管22的第一載置部28a,及在上段形成載置後述之是吸熱構件的隔熱筒90的第二載置部28b。
又,第二載置部28b作為限制內管22之水平方向的位置的位置限制部,並在圓周方向整圈形成。
此外,第二載置部28b亦可不是在圓周方向整圈形成,而隔著既定之間隔,最好等間隔地形成。
在作為是外管20之開口部20a的下面之歧管28的第一接合面110,例如歧管28的上面,在圓周方向整圈所形成的槽,舖設例如以O環所形成之第3密閉構件85。
因此,藉由歧管28經由第3密閉構件85而和外管20之開口部20a的下面抵接,而氣密地封閉處理容器30的開口部。
第3圖表示在本發明之實施形態之具備有隔熱筒90之基板處理裝置之主要部的縱剖面圖。
第4圖表示本發明之實施形態的隔熱筒90。
隔熱筒90是吸熱構件,形成為圓環形,並具有下面90a,其和歧管28的第二載置部28b及內管22之開口部之突起部22a的上面接觸。
此外,雖然隔熱筒90使用作為非金屬材料的不透明石英,但是亦可使用對透明石英實施噴砂處理(不透明研磨)的。但,不透明石英之隔熱(遮熱)效果或吸熱效果比較大。
又,隔熱筒90未限定為一體形狀,亦可是二等分、三等分等的分割形狀。
又,隔熱筒90配置於和內管22同心圓上。
將內管22載置於歧管28的第一載置部28a。隔熱筒90的內面和內管22的外面抵接,從歧管28的第二載置部28b至內管22之開口部之突起部22a的上面,載置隔熱筒90的下面90a。
此外,在隔熱筒90和內管22之熱膨脹係數相異的情況下,亦可配置成隔熱筒90的內面和內管22的外面不會抵接,而形成間隙。
第5圖表示在本發明之實施形態之隔熱筒90的設置例。
將數個螺栓孔90b設置於隔熱筒90之下面90a,將數個螺絲攻孔28c設置於歧管28,並以係屬移動限制構件的螺栓91固定。因而,可防止金屬污染,限制隔熱筒90的移動,進而抑制內管22的翻倒。而且,可作為內管22的地震對策。螺栓91例如使用石英螺栓。
其次,說明使用以上構成的基板處理裝置10之本發明一實施形態之IC的製造方法的成膜步驟及其後面的動作。
此外,在以下說明中,構成基板處理裝置10之各部的動作由控制器84控制。
將複數片晶圓1裝填於晶舟66(wafer charge)時,如第1圖所示,保持有複數片晶圓1的晶舟66,由晶舟昇降器72抬高,並被搬入處理室24(boat loading)。
在此狀態下,密封蓋52成為經由第1密閉構件52a、密封蓋套54以及第2密閉構件54a而將歧管28之下面密封的狀態。
利用排氣裝置34排氣,使處理室24內變成所要之壓力(真空度)。此時,以壓力感測器36測量處理室24內的壓力,並根據該所測量的壓力,對壓力調整裝置38進行回授控制。
又,利用加熱器14加熱,使處理室24內變成所要之溫度,此時,根據溫度感測器78所檢測之溫度資訊,對加熱器14的通電程度進行回授控制,以使處理室24內變成所要之溫度分布。此外,此時,歧管28周邊為,利用隔熱筒90吸收並遮蔽來自加熱器14的熱,該隔熱筒90設置於歧管28的上面,且內管22的下端插置於該隔熱筒90及該 歧管28之間。
接著,藉由旋轉機構58使晶舟66旋轉,而晶圓1旋轉。
從氣體供給源48所供給並由MFC46控制成所要之流量的氣體在氣體供給管44中流動,並從氣體供給部42被引入處理室24內。
所引入的氣體在處理室24內上昇,並從內管22的上端開口向筒狀空間26流出,再從排氣管32排出。
在氣體通過處理室24內時,和晶圓1的表面接觸,此時利用熱CVD反應,而將薄膜沉積(deposition)於晶圓1的表面上。
經過所預定之處理時間時,從氣體供給源48供給惰性氣體,而將處理室24內置換成惰性氣體,同時使處理室24內的壓力回到常壓。
然後,利用晶舟昇降器72使密封蓋52下降,將處理室24的下端打開,同時已處理晶圓1在由晶舟66保持之狀態下,被搬至處理室24的外部(boat unloading)。
然後,從晶舟66取出已處理晶圓1(wafer discharge)。
在本實施形態中,從為了防止對晶圓1的金屬污染而使處理室24內之金屬變成最低限度的觀點,歧管28使用石英。如第2(a)圖所示,作為在處理室處理基板之步驟的製程時,因為複數片晶圓1和複數片不透明石英製的隔熱板68設置於內管22的內部,所以來自加熱器14的熱不會直接碰到第3密閉構件85,而第3密閉構件85的溫度變成耐熱溫度以下。可是,如第2(b)圖所示,在處理室不處理基板,例如作為處理基板之前階段的步驟之準備時,因為在內管22的內部未設置晶圓1和隔熱板68,所以來自加熱器14的熱照射直接照射於第3密閉構件85。尤其,因為第3密閉構件85設置於石英製之歧管28的槽,所以熱難逸出,第3密閉構件85的溫度變成耐熱溫度以上,而熱劣化,無法保持密封性能。此外,熱劣化進展時發生熔解,產生包含有導至基板之污染的有機物的廢氣(outgas)。
又,在以螺栓固定於歧管28而設置內管翻倒抑制構件的情況下,在內管22的內部處理基板中所產生之反應產生物(副產生物)可能黏附於內管翻倒抑制構件附近,在此反應產生物黏附之狀態下,被迫拆下內管翻倒抑制構件時,以非金屬材料所形成之螺栓或歧管可能裂開。
因此,在本實施形態中,將不透明製的隔熱筒90設置於內管22和外管20的下部之間,使得即使在準備時來自加熱器14的放射熱亦難直接照射於第3密閉構件85。利用此隔熱筒90,遮蔽來自熱源的放射熱,而可抑制第3密閉構件85的溫度上昇。因而,可一面保持第3密閉構件85的密封性能,一面抑制熱所引起的熔解。又,此外,設置隔熱筒90,使其成為內管22的地震對策。因而,隔熱筒90亦作為內管翻倒抑制構件發揮功能,而且因為隔熱筒90吸熱,所以成為帶熱之狀態,作為內管翻倒抑制構件的隔熱筒90發揮吸熱構件之功能,可抑制反應產生物附著於隔熱筒90附近。
如第6圖所示,在本發明之實施形態的基板處理裝置10中,將係屬熱源的加熱器14設定成700℃,比較不使用隔熱筒90的情況和使用的情況之第3密閉構件85周邊及隔熱筒90周邊的溫度。
在第3密閉構件85的上方之外管20之開口部附近的溫度在不使用隔熱筒90的情況下是278.7℃,而在使用隔熱筒90的情況下是255.2℃。即,使用隔熱筒90之降溫效果是-23.5℃。
又,第3密閉構件85附近的溫度在不使用隔熱筒90的情況下是265.9℃,而在使用隔熱筒90的情況下是250.5℃。即,使用隔熱筒90之降溫效果是-15.4℃。
又,在第3密閉構件85之下方的歧管28附近的溫度,在不使用隔熱筒90的情況是244.7℃,而在使用隔熱筒90的情況是232.7℃。即,使用隔熱筒90之降溫效果是-12.0℃。
因此,在第3密閉構件85周邊之任一個位置,都可藉由使用隔熱筒90,抑制溫昇。
又,隔熱筒90之歧管28側的溫度在不使用隔熱筒90的情況下是310.1℃,而在使用隔熱筒90的情況下是350.2℃。即,使用隔熱筒90之溫昇效果是40.1℃。
因此,在隔熱筒90周邊,可藉由使溫度上昇而抑制反應副產生物的黏附。
又,例如為了提高生產力,在處理結束後馬上降低加熱器14的溫度時,外管20和內管22之間的殘留氣體固化,而可能黏附壓住隔熱筒90或內管22的螺栓91等。可是,因為隔熱筒90吸熱而帶熱,所以在殘留氣體接觸隔熱筒90或螺栓91時,可抑制殘留氣體的固化。因而,可使得隔熱筒90和內管22的拆下變得容易。
第7圖表示在本發明之第2實施形態之隔熱筒90的設置例。
在第2實施形態中,設置從隔熱筒90之下面90a的外周部向垂直方向下方突出之作為移動限制構件的下部圓筒部90c。而且,將隔熱筒90的下部圓筒部90c插入在歧管28之第二載置部28b所形成的槽28d。因而,可抑制隔熱筒90之橫向的動作和斜上方的動作。同時,以隔熱筒90之下部圓筒部90c和形成於第二載置部28b的槽28d抑制內管22之橫向的動作。此外,將圓筒部90c之厚度A和槽28d之厚度B的關係設為A<B較佳。藉由如此地設定,而可抑制內管22向上方移動時所產生之轉動力矩。
依據第2實施形態,除了第1實施形態之效果以外,雖然如第1實施形態般以螺栓將隔熱筒90固定於槽28d時,由於熱及副產生物的影響,可能螺栓咬住歧管而無法拆下,亦可能必須更換歧管,但是依據本實施形態,因為未使用螺栓,所以可避免上述之難拆下的問題,可使得更易於進行拆裝作業。
作為本發明之第3實施形態,如第8圖所示,將隔熱筒90的高度設定成遮住來自發熱體之熱線的高度以上,以使從加熱器14的上端至下端為止之來自各個加熱器14,即發熱體的熱線不會直接到達第3密閉構件85。即將隔熱筒90的高度設為比連接加熱器14的上端14a和第3密閉構件85之線(熱線)高。因而,可抑制第3密閉構件85之溫升。
在此,由於設置隔熱筒90,位於遠離爐心之位置的排氣管32變成低溫,而副產生物可能附著。因此,在本發明之第4實施形態,如第9圖所示,設置缺口部90d,其和隔熱筒90之排氣管32相對向的部位上方被切出之缺口。因而,排氣管32局部地接受來自加熱器14的熱線,而可使排氣管32的溫度局部地上昇。而且,可防止副產生物附著於排氣管32。尤其,排氣管32內的排氣口附近下方,因為排氣路徑彎曲,所以副產生物易附著,但是藉由將缺口部90d之缺口底面的高度設定成來自加熱器14的熱線直接到達排氣管32內之排氣口附近下方的高度,可更加抑制副產生物的附著。
順便地,將配管加熱器設置於排氣管32的外周,這有費用變貴、空間變成浪費以及控制變得複雜之問題。可是,依據本發明之第4實施形態,可避免那些問題的產生。
又,為了防止缺口部90d周邊之密閉構件的溫升,亦可使設置缺口部90d之正下之隔熱筒90的厚度變厚。因而,可防止排氣管32周邊之第3密閉構件85的溫升。
第10圖表示本發明之第5實施形態。
在第5實施形態中,將埋頭孔28e設置於用以設置歧管28之外管20的面,將貫穿孔28f設置於歧管28之第二載置部28b的側壁。將作為抑制內管22之移動限制構件的內管銷95設置於埋頭孔28e及貫穿孔28f。因而,可防止內管22之橫向的偏移,藉由插入內管銷95,可防止內管22之縱向的偏移。
依據以上,雖然在以螺栓固定的情況下,因副產生物附著,而難拆下,但是藉由作成銷形狀,即使在副產生物附著的情況下,亦可易於拆下。又,使對晶圓之金屬污染變成最低限度,並在發生地震時可保護處理室內的石英元件。
第11圖表示本發明之第6實施形態。
在第1實施形態中,在以螺栓91固定隔熱筒90時,必須從螺栓孔90b的間隙窺視設置於歧管28的螺絲攻孔28c。又,因為相對於內管22之筒部大,而隔熱筒90之作為下端凸緣的下面90a比較小,所以難窺視。又,因為是外管20和內管22之間之狹窄的空間,所以無法使隔熱筒90的下面90a和螺栓91的尺寸變大,在第6實施形態中,替代第1實施形態之設置於是隔熱筒90之下端凸緣部之下面90a的螺栓孔90b,而將從下面90a之外周朝半徑方向被切掉的缺口90e設置於下面90a。而,屬於移動限制構件的螺栓91經由缺口90e,固定於歧管28的螺絲攻孔28c,以限制隔熱筒90的移動。因而,在將該螺栓91固定於歧管28的螺絲攻孔28c時,因為可從下端凸緣部側方視認螺絲攻孔位置,所以作業性提高,並可使下端凸緣部的尺寸變小。
第12圖表示在本發明之第7實施形態。
在上述實施形態中,在以螺栓91固定隔熱筒90時,可能在遠離裝置的位置以螺栓91將隔熱筒90固定於歧管28後,運至裝置。由於此搬運時的振動而螺栓91可能損壞。在第7實施形態中,在第1實施形態及第6實施形態的螺栓91使用是移動限制構件的螺栓92。螺栓92是凸肩螺栓,由插入歧管28之螺絲攻孔28c的下部92c和插入缺口90e之中部92b以及頭部92a所構成。中部92b構成為其直徑比下部92c大,而且比缺口90e小。又,中部92b構成為比隔熱筒90之下端凸緣部的厚度長。頭部92a構成為其直徑比中部92b大,而且比缺口90e大。即,將間隙設置於隔熱筒90之是下端凸緣部的下面90a和螺栓92的頭部92a之間。因而,防止振動所引起的的力直接傳至螺栓92,而可防止螺栓92的損壞。
本發明是有關於半導體製造技術,尤其是將被處理基板收容於處理室並在由加熱器加熱之狀態實施處理的熱處理技術,可應用於例如利用於在對已製入半導體積體電路裝置(半導體組件)之半導體晶圓進行氧化處理或擴散處理、擊入離子後之載子活化或平坦化所需的逆流(reflow)或退火以及藉熱CVD反應的成膜處理等所使用的基板處理裝置有效者。
依據本發明之一形態,提供一種基板處理裝置,其具有:加熱裝置,係對該外管內加熱;蓋體,係經由密閉構件對該歧管的開口部進行開閉;以及吸熱構件,係設置於 該歧管且該內管的下端插置於該吸熱構件及該歧管之間,該吸熱構件吸收來自該加熱裝置之熱且由非金屬材料所形成。
最好將排氣管設置於該外管或該歧管,並具有缺口部,其是該吸熱構件中和該排氣管相對向的部位上方被切出之缺口。
最好於該歧管的上部設置段差,在該段差的下段具有載置該內管的第一載置部,而在該段差的上段則具有載置該吸熱構件的第二載置部,並於該第二載置部設置限制該吸熱構件之移動的移動限制部。
最好於該歧管的上部設置段差,在該段差的下段具有載置該內管的第一載置部,而在該段差的上段則具有載置該吸熱構件的第二載置部,該吸熱構件的內面經由間隙而設置於該內管的外面,從該第二載置部至設置於被載置於該第一載置部之該內管之開口部側的下面設置該吸熱構件,在該吸熱構件的該下面形成在半徑方向被切掉的缺口部,並於該缺口部設置限制該吸熱構件之移動的移動限制部。
依據本發明之其他的形態,提供一種半導體裝置之製造方法,該半導體裝置包含:外管、由和該外管連結之非金屬材料所形成的歧管以及在比該外管更內側被載置於該歧管的內管,該製造方法包含:封閉步驟,係一面將基板搬入該內管的內部,並一面經由密閉構件以蓋體對該歧管的開口部封閉;以及吸熱步驟,係一面以加熱裝置對位於該內管內的基板進行加熱處理,一面經由該內管的下端設置於該歧管之由非金屬材料所形成的吸熱構件吸收來自該加熱裝置的熱。
最好該歧管由透明石英構件所形成。
最好該吸熱構件由不透明石英構件所形成。
最好該吸熱構件具有突出部,而該突出部設置成插入設於該歧管的槽。
最好該吸熱構件至少延長至遮蔽熱線的位置,該熱線連接對設置於該加熱裝置的該基板加熱之發熱體的上端和該密閉構件。
最好該吸熱構件配置成和該內管成同心圓形。
依據本發明之另外的形態,提供一種基板處理裝置,其具有:外管、和該外管連結的歧管、在比該外管更內側被載置於該歧管並在內部處理基板的內管、設置於比該外管更外側並對該外管內加熱的加熱裝置、經由密閉構件對該歧管的開口部進行開閉的蓋體以及按壓該內管下端的按壓元件,將凸緣部形成於該內管的下端外側,在該歧管具有:載置該內管的內管載置部、自該內管載置部在外周對該內管載置部形成比該凸緣部之高度還高的突出部、以及自該突出部設置於外周的槽部,將該按壓元件的一端插入該突出部,同時將另一端插入該槽部。
依據本發明之另外的形態,提供一種基板處理裝置,其具有:外管、和該外管連結的歧管、在比該外管更內側被載置於該歧管並在內部處理基板的內管、設置於比該外管更外側並對該外管內加熱的加熱裝置、經由密閉構件對該歧管的開口部進行開閉的蓋體、以及按壓該內管下端的按壓元件,將凸緣部形成於該內管的下端外側,在該歧管具有:載置該內管的內管載置部、自該內管載置部在外周對該內管載置部形成比該凸緣部之高度還高的突出部、以及自該突出部設置於外周的槽部,將該按壓元件的一端插入該突出部,同時將另一端插入該槽部。
最好該歧管由透明石英構件所形成。
1...晶圓
10...基板處理裝置
12...處理爐
14...加熱器
18...處理管
20...外管
22...內管
24...處理室
28...歧管
28a...第一載置部
28b...第二載置部
30...處理容器
52...密封蓋
85...第3密閉構件
90...隔熱筒
90a...下面
91、92...螺栓
第1圖係在本發明之實施形態所使用之基板處理裝置的縱剖面圖。
第2圖係表示以往之基板處理裝置的主要部的縱剖面圖,(a)表示處理時,(b)表示準備時。
第3圖係表示在本發明之實施形態的歧管周邊的剖面圖。
第4圖係表示在本發明之實施形態之隔熱筒的形狀的立體圖。
第5圖係表示在本發明之實施形態之(a)設置隔熱筒時的縱剖面圖、(b)隔熱筒的立體圖。
第6圖係表示在本發明之實施形態之密閉構件周邊的溫度比較。
第7圖係表示在本發明之第2實施形態之(a)設置隔熱筒時的縱剖面圖、(b)隔熱筒的立體圖。
第8圖係表示在本發明之第3實施形態之基板處理裝置之熱照射的縱剖面圖。
第9圖係表示在本發明之第4實施形態之(a)設置隔熱筒時的縱剖面圖、(b)隔熱筒的立體圖。
第10圖係表示本發明之第5實施形態的主要部之(a)縱剖面圖、(b)立體圖。
第11圖係在本發明之第6實施形態之隔熱筒的立體圖。
第12圖係表示在本發明之第7實施形態之(a)隔熱筒的立體圖、(b)被安裝於隔熱筒之螺栓的立體圖。
(a)14...加熱器
20...外管
22...內管
24...處理室
28...歧管
28a...第一載置部
28b...第二載置部
28c...螺絲攻孔
85...第3密閉構件
90...隔熱筒
91...螺栓
(b)90...隔熱筒
91...螺栓
90a...下面
90a...螺栓孔

Claims (11)

  1. 一種基板處理裝置,其具有:外管;歧管,係和該外管連結;內管,係在比該外管更內側被載置於該歧管,並在內部處理基板;加熱裝置,係設置於比該外管更外側,並對該外管內部加熱;蓋體,係經由密閉構件對該歧管的開口部進行開閉;以及吸熱構件,係設置於該歧管且該內管的下端插置於該吸熱構件及該歧管之間,該吸熱構件吸收來自該加熱裝置之熱且由非金屬材料所形成。
  2. 如申請專利範圍第1項之基板處理裝置,其中將排氣管設置於該外管或該歧管,該吸熱構件具有缺口部,該缺口部是該吸熱構件中和該排氣管相對向的部位上方被切除而成之缺口部。
  3. 如申請專利範圍第1項之基板處理裝置,其中於該歧管的上部設置段差,在該段差的下段具有載置該內管的第一載置部,而在該段差的上段則具有載置該吸熱構件的第二載置部,並於該第二載置部設置限制該吸熱構件之移動的移動限制部。
  4. 如申請專利範圍第1項之基板處理裝置,其中於該歧管的上部設置段差,在該段差的下段具有載置該內管的第一載置部,而在該段差的上段則具有載置該吸熱構件的第二載置部,該吸熱構件的內面隔著間隙而設置於該內管的外面,從該第二載置部至設置於被載置於該第一載置部之該內管之開口部側的下面設置該吸熱構件,在該吸熱構件的該下面形成有在半徑方向被切掉的缺口部,並於該缺口部設置限制該吸熱構件之移動的移動限制部。
  5. 如申請專利範圍第1項之基板處理裝置,其中該吸熱構件具有突出部,而該突出部設置成插入設於該歧管的槽。
  6. 如申請專利範圍第1項之基板處理裝置,其中該吸熱構件至少延長至遮蔽熱線的位置,該熱線連接對設置於該加熱裝置的該基板加熱之發熱體的上端和該密閉構件。
  7. 如申請專利範圍第4項之基板處理裝置,其中該移動限制構件是螺栓。
  8. 如申請專利範圍第7項之基板處理裝置,其中該螺栓係構成為於該螺栓頭部和該吸熱構件的下面之間設置間隙。
  9. 一種基板處理裝置,其具有:外管、和該外管連結的歧管、在比該外管更內側被載置於該歧管並在內部處理基板的內管、設置於比該外管更外側並對該外管內加熱的加熱裝置、經由密閉構件對該歧管的開口部進行開閉的 蓋體、以及按壓該內管下端的按壓元件;將凸緣部形成於該內管的下端外側;該歧管具有:載置該內管的內管載置部、位於比該內管載置部更外周且相對於該內管載置部形成比該凸緣部之高度還高的突出部、以及設置於比該突出部更外周的槽部;將該按壓元件的一端插入該突出部,同時將另一端插入該槽部。
  10. 一種半導體裝置之製造方法,該半導體裝置包含:外管、由和該外管連結的歧管以及在比該外管更內側被載置於該歧管的內管,該製造方法包含:封閉步驟,係一面將基板搬入該內管的內部,並一面經由密閉構件以蓋體對該歧管的開口部封閉;以及吸熱步驟,係一面以加熱裝置對位於該內管內的基板進行加熱處理,一面以由非金屬材料所形成的吸熱構件吸收來自該加熱裝置的熱,該吸熱構件設置於該歧管且該內管的下端插置於該吸熱構件及該歧管之間。
  11. 一種基板處理裝置,其具有:外管;歧管,係和該外管連結;內管,係在比該外管更內側被載置於該歧管,並在內部處理基板;加熱裝置,係設置於比該外管更外側,並對該外管內部加熱; 蓋體,係經由密閉構件對該歧管的開口部進行開閉;以及吸熱構件,係在該歧管的上方,設置於該內管的下端側與該外管的下端側之間,並吸收來自該加熱裝置的熱且由非金屬材料所形成。
TW098128603A 2008-08-27 2009-08-26 基板處理裝置及半導體裝置之製造方法 TWI387666B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008218003 2008-08-27
JP2009165679A JP5593472B2 (ja) 2008-08-27 2009-07-14 基板処理装置および半導体デバイスの製造方法

Publications (2)

Publication Number Publication Date
TW201016886A TW201016886A (en) 2010-05-01
TWI387666B true TWI387666B (zh) 2013-03-01

Family

ID=41723782

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098128603A TWI387666B (zh) 2008-08-27 2009-08-26 基板處理裝置及半導體裝置之製造方法

Country Status (3)

Country Link
US (1) US8076615B2 (zh)
JP (1) JP5593472B2 (zh)
TW (1) TWI387666B (zh)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8430965B2 (en) * 2007-02-16 2013-04-30 Pronomic Industry Ab Epitaxial growth system for fast heating and cooling
JP5188326B2 (ja) * 2008-08-28 2013-04-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US20110249960A1 (en) * 2011-01-28 2011-10-13 Poole Ventura, Inc. Heat Source Door For A Thermal Diffusion Chamber
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5922534B2 (ja) * 2012-09-10 2016-05-24 光洋サーモシステム株式会社 熱処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102063607B1 (ko) * 2013-03-12 2020-02-11 삼성전자주식회사 웨이퍼 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
JP2015133405A (ja) * 2014-01-14 2015-07-23 日立金属株式会社 半導体製造装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10224222B2 (en) * 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6579974B2 (ja) * 2015-02-25 2019-09-25 株式会社Kokusai Electric 基板処理装置、温度センサ及び半導体装置の製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10871327B2 (en) * 2015-09-03 2020-12-22 Ardent Llc Waterless portable precision heating device
US11175095B2 (en) 2015-09-03 2021-11-16 Ardent Life Inc. Insert for waterless portable precision heating device
WO2017040836A1 (en) 2015-09-03 2017-03-09 Ardent Llc Waterless decarboxylation
JP5951095B1 (ja) * 2015-09-08 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017138087A1 (ja) 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6952595B2 (ja) * 2017-12-20 2021-10-20 東京エレクトロン株式会社 縦型熱処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
JP6749954B2 (ja) 2018-02-20 2020-09-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7023147B2 (ja) * 2018-03-13 2022-02-21 東京エレクトロン株式会社 断熱構造体及び縦型熱処理装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN114902384A (zh) * 2020-03-19 2022-08-12 株式会社国际电气 基板处理装置、隔热件组件及半导体装置的制造方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115132624A (zh) * 2022-07-13 2022-09-30 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2889649B2 (ja) * 1990-04-09 1999-05-10 東京エレクトロン株式会社 熱処理装置
JP3498811B2 (ja) * 1994-10-20 2004-02-23 東京エレクトロン株式会社 縦型熱処理装置
JPH11111632A (ja) * 1997-10-02 1999-04-23 Tokyo Electron Ltd 縦型熱処理装置
JP4434334B2 (ja) 1998-07-13 2010-03-17 株式会社日立国際電気 Cvd装置および膜の形成方法
JP2002334868A (ja) 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2002353145A (ja) 2001-05-23 2002-12-06 Hitachi Kokusai Electric Inc 熱処理装置

Also Published As

Publication number Publication date
JP5593472B2 (ja) 2014-09-24
US20100051597A1 (en) 2010-03-04
JP2010080923A (ja) 2010-04-08
US8076615B2 (en) 2011-12-13
TW201016886A (en) 2010-05-01

Similar Documents

Publication Publication Date Title
TWI387666B (zh) 基板處理裝置及半導體裝置之製造方法
CN110010526B (zh) 衬底处理装置以及半导体器件的制造方法
JP5188326B2 (ja) 半導体装置の製造方法、基板処理方法、及び基板処理装置
US8851886B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP6764514B2 (ja) 基板処理装置、反応容器および半導体装置の製造方法
JP6815526B2 (ja) 基板処理装置、ヒータ装置、半導体装置の製造方法
CN107210218B (zh) 衬底处理装置以及反应管
JP2018049853A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
TWI466216B (zh) 基板處理裝置,半導體裝置之製造方法及頂板斷熱體
JP4222086B2 (ja) 熱処理装置
CN110870050A (zh) 衬底处理装置、石英反应管、清洁方法以及程序
JP4260404B2 (ja) 成膜装置
WO2007055381A1 (ja) 成膜装置および成膜装置用の載置台
JP4782761B2 (ja) 成膜装置
JP2011198957A (ja) 基板処理装置及び基板保持体及び半導体装置の製造方法
JP6581385B2 (ja) 熱処理装置
JP2005032883A (ja) 基板処理装置
JP2010053393A (ja) 基板処理装置
JP2010272720A (ja) 基板処理装置及び半導体装置の製造方法
JP2010040919A (ja) 基板処理装置
JP2714576B2 (ja) 熱処理装置
JP2004023049A (ja) 熱処理装置
JP5593474B2 (ja) 基板処理装置、半導体装置の製造方法、天井断熱体及び加熱装置
JP2009170595A (ja) 基板処理装置
JP2004079845A (ja) 基板処理装置