JP2010114420A - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法 Download PDF

Info

Publication number
JP2010114420A
JP2010114420A JP2009179630A JP2009179630A JP2010114420A JP 2010114420 A JP2010114420 A JP 2010114420A JP 2009179630 A JP2009179630 A JP 2009179630A JP 2009179630 A JP2009179630 A JP 2009179630A JP 2010114420 A JP2010114420 A JP 2010114420A
Authority
JP
Japan
Prior art keywords
substrate
reactant
processing chamber
gas supply
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009179630A
Other languages
English (en)
Inventor
Hironobu Miya
博信 宮
Masanori Sakai
正憲 境
Kanekazu Mizuno
謙和 水野
Kazuyuki Toyoda
一行 豊田
Yuji Takebayashi
雄二 竹林
Tsutomu Kato
努 加藤
Kenji Ono
健治 大野
Atsushi Morikawa
敦史 守川
Itaru Okada
格 岡田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2009179630A priority Critical patent/JP2010114420A/ja
Priority to US12/571,688 priority patent/US20100087069A1/en
Priority to KR1020090094797A priority patent/KR101167508B1/ko
Publication of JP2010114420A publication Critical patent/JP2010114420A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】酸化剤の供給量や供給時間を増大させることなく酸化膜の被覆性やローディング効果を改善する。
【解決手段】少なくとも1枚の基板を処理室内に搬入する基板搬入工程と、前記基板を加熱しながら第1の反応物質と酸素原子を含む第2の反応物質とを前記処理室内に交互に供給して前記基板上に酸化膜を形成する酸化膜形成工程と、前記基板を前記処理室内から搬出する基板搬出工程と、備え、前記酸化膜形成工程では、基板温度が前記第1の反応物質の自己分解温度以下であり、前記第2の反応物質に紫外領域の光を照射することを特徴とする半導体デバイスの製造方法が提供される。
【選択図】図11

Description

本発明は半導体デバイスの製造方法に関し、特に処理対象となる基板に金属酸化膜を形成する際に有効な技術に関するものである。
近年、半導体デバイスの高密度化に伴い、デバイスを形成する際の絶縁膜に関しても、より薄い膜が求められてきた。しかし、絶縁膜を薄くするとトンネル電流が流れるため、実効的には薄くしても実際にはトンネル効果が生じない厚さにしたいという要望があり、キャパシタ材料としては誘電率の大きなHfOやZrOなどの高誘電率金属酸化物に注目が集まっている。例えば、SiOで1.6nmの厚さの膜を形成しようとする場合は電気的制約が困難であるが、高誘電率膜であるHfOであれば4.5nmの厚さで同等の誘電率を得ることができる。このように、DRAMのキャパシタを中心とした絶縁膜として高誘電率膜であるHfOやZrOの採用が可能となる。高誘電率膜の形成方法としては、凹部埋めこみ性、ステップカバレッジ性に優れるALD(Atomoic Layer Deposition)成膜方法がある。
HfOやZrO成膜においては、金属原料としてテトラエチルアミノハフニウム(TEMAH:Hf[N(CH)(C)]やテトラアミノジルコニウム(TEMAZ:Zr[N(CH)(C)]などのアミド化合物が主として用いられる。酸化物としてはHO(水蒸気)やO(オゾン)が用いられる。ALD成膜においては金属材料であるTEMAHあるいはTEMAZと酸化剤(例えばO)を交互に反応室に供給することにより成膜を行う。
特開2005−259966号公報 特開2006−66587号公報
しかしながら、ALD法を用いて低温で金属酸化膜を形成する方法において、例えばHfO膜を形成する場合、酸化剤であるOが十分に活性化されない状態でHfO膜が形成されると、所望の成膜速度が得られないだけで無く、トレンチ(溝)構造を持つパターンウエハのウエハ中央部において膜厚が低下して段差被覆性が悪くなったり、バッチ内においてパターンウエハの装填枚数によってHfO膜の被覆性が低下したり、パターンの疎密により膜厚が変動したり(このような現象をローディング効果と呼ぶ。)する問題がある。
このとき、成膜速度を増大させ段差被覆性やローディング効果を改善するために酸化剤であるオゾンの供給量や供給時間を増大すれば、成膜速度は向上し段差被覆性やローディング効果は改善されるが、成膜時間の増大を招き、結果的にスループットが悪化したり、原料消費量の増大による製造コストが増大してCOO(Cost of ownership:1枚あたりの製造コスト)の悪化を招いたりすることになる。これらの従来技術の一例として特許文献1、特許文献2がある。
本発明の主な目的は、酸化膜の形成において、酸化剤の供給量や供給時間を増大させることなく酸化膜の被覆性やローディング効果を改善することができる半導体デバイスの製造方法を提供することにある。
上記課題を解決するため本発明によれば、少なくとも1枚の基板を処理室内に搬入する基板搬入工程と、前記基板を加熱しながら第1の反応物質と酸素原子を含む第2の反応物質とを前記処理室内に交互に供給して前記基板上に酸化膜を形成する酸化膜形成工程と、前記基板を前記処理室内から搬出する基板搬出工程と、備え、前記酸化膜形成工程では、基板温度が前記第1の反応物質の自己分解温度以下であり、前記第2の反応物質としてオゾンを用いる際にオゾンに紫外領域の光を照射することを特徴とする半導体デバイスの製造方法が提供される。
本発明によれば、酸化膜を形成する工程において、酸化剤に相当する第2の反応物質に紫外線を照射することで、第2の反応物質を活性化させた状態で基板に供給することができる。そのため、金属酸化膜の形成において、酸化剤に相当する第2の反応物質の供給量や供給時間を増大させることなく金属酸化膜の成膜速度を増大して被覆性やローディング効果を改善することができ、ひいてはスループットが悪化したりCOOが悪化したりするのを未然に回避することができる。
本発明の好ましい実施例におけるSi基板表面への酸化膜原料の吸着とオゾンの酸化とを概略的に説明するための図面である。 本発明の好ましい実施例におけるO濃度の温度依存性を概略的に説明するための図面である。 本発明の好ましい実施例で使用される半導体デバイス製造装置の概略的な構成を示す斜視図である。 本発明の好ましい実施例で使用される半導体デバイス製造装置の概略的な構成を示す側面透視図である。 本発明の好ましい実施例で使用される処理炉とそれに付随する部材の概略構成図であって、特に処理炉部分を縦断面で示す図面である。 本発明の実施例1で私用される図5のA−A線に沿う断面図である。 本発明の好ましい実施例で使用される処理炉とその近傍の概略構成を示す縦断面図である。 本発明の好ましい実施例で使用されるO供給用のノズルの概略的な構成を示す部分断面図である。 図8のB−B線に沿う断面図である。 本発明の好ましい実施例に係る半導体デバイスの製造方法の概略的な工程を説明するための図面である。 本発明の実施例2で使用される図5のA-A線に沿う断面図である。 本発明の実施例3で使用されるO供給用のノズルの概略的な構成を示す部分断面図である。 図12のC-C線に沿う断面図である。 酸素の核間距離とポテンシャルエネルギーの関係を示す図である。
以下、図面を参照しながら本発明の好ましい実施例を説明する。
[成膜原理]
始めに、テトラエチルメチルアミノハフニウム(TEMAH)とOを用いてALD法によりHfO膜を形成する工程(金属酸化膜形成工程)を例としてその成膜原理について説明する。
TEMAHとOとを処理室に導入した時の熱分解過程について考えてみる。
図1に示す通り、Si基板上にはSi−H及びSi−OHの結合が存在する。処理室内にTEMAHが供給されると、図1(1)に示す通り、そのTEMAHがSi−OHに吸着してエチルメチルアミンN(C)(CH)が放出される。
その後、処理室内にはOが供給される。Oが供給されると、図1(2)に示す通り、TEMAH分子に付いているエチルメチルアミンN(C)(CH)が更に放出され、Hf−O−Si結合が形成される。Oが更に供給されると、図1(3)(4)に示すように、Si−O−Hf[N(C)(CH)]−(O−Si)2、Si−O−Hf−(O−Si)で表されるような結合が形成される。すなわち、初期過程においては、Hf分子はエチルメチルアミンN(C)(CH)を放出して基板のSiとHf−O−Siを順次形成することになる。
ここで、酸化剤であるOの処理室内での熱分解工程を考えてみるとき、S.W.BensonとA.E.Axworthy Jr.はOの分解を式(1)、(2)で示した(オゾンハンドブック、日本オゾン協会発行)。
式(1)中、「M」はN、O、CO、O等の第3の物質を指す。
式(1)、式(2)の反応は式(3)で表される。
式(3)中、[O]t:t時間後のオゾン濃度、[O]:酸素濃度、[O]s:初期オゾン濃度、t:経過時間である。
式(1)、式(2)中、「k」、「k」、「k」は式(4)〜式(6)で表される。
=(4.61±0.25)×1015exp(−24000/RT)cm3/mols−1 (M=Oの場合) … (4)
=(6.00±0.33)×1015exp(+600/RT)cm3/mols−1 … (5)
=(2.96±0.21)×1015exp(−6000/RT)cm3/mols−1 … (6)
反応に寄与するのはオゾンラジカル(O)である。バッチ式の成膜装置において多段に置かれたSi基板にOを供給する場合、Oが少なければ、TEMAHとの反応が十分に進まず、例えば、成膜速度が十分に取れなかったり、Si基板の中心部におけるステップカバレッジやローディング効果の特性が悪くなるなどの影響を与える。式(1)、式(3)において、Oを増やすためには、処理室に供給するO流量を増大させるか、Oのガス温度を高くするか、もしくは紫外波長域の光を照射する必要がある。
本発明の好ましい実施例では、従来のO供給に比べO濃度を効果的に上げるための方策を提供する。
図2に示す通り、温度の上昇と共に気相中のO濃度は低減する。
例えば、O/O17000ppmのOを加熱した場合、300℃でのO濃度は350ppmであるのに対して400℃でのO濃度は4ppmである。温度を300℃から400℃に100℃上昇させるだけで、O濃度は約1/70〜1/80に減少する。
式(1)から、O濃度が減少する場合に、1モルのOの分解により1モルのOが発生する。すなわち、Oの発生量はその存在する場の温度を300℃から400℃に上昇させると約70〜80倍に増大することになる。発生したOは式(1)の逆反応や式(2)のようにO又はOと反応して実質的な濃度が低下する。これらの反応を抑えるためには、Oを供給対象であるSi基板近傍で発生させる必要がある。この方法として、本発明の好ましい実施例では、Oを処理室に供給するノズルの内部にヒータを設置して、供給中のOを当該ヒータで加熱する方法を採用する(下記参照、図6(a)、図7〜図9参照)。
[装置全体構成]
上記[成膜原理]で説明した事項を踏まえて、本発明の好ましい実施例に係る半導体デバイス製造装置やその製造方法についてより詳細に説明する。
始めに、図3、図4を参照しながら、本発明の好ましい実施例に係る半導体デバイスの製造方法における処理工程で使用される半導体デバイス製造装置について説明する。
図3、図4に示す通り、半導体デバイス製造装置101では、シリコン等の材料から構成されるウエハ200を収納したウエハキャリアとしてのカセット110が使用される。
半導体デバイス製造装置101は筐体111を備えている。筐体111の正面壁111aの下方にはメンテナンス可能なように設けられた開口部としての正面メンテナンス口103が開設されている。正面メンテナンス口103には開閉自在な正面メンテナンス扉104が建て付けられている。
メンテナンス扉104には、カセット搬入搬出口112が筐体111内外を連通するように開設されており、カセット搬入搬出口112はフロントシャッタ113によって開閉されるようになっている。
カセット搬入搬出口112の筐体111内側にはカセットステージ114が設置されている。カセット110は、工場内搬送装置(図示略)によって、カセットステージ114上に搬入されたり、カセットステージ114上から搬出されたりされるようになっている。
カセットステージ114は、工場内搬送装置によって、カセット110内でウエハ200が垂直姿勢を保持し、カセット110のウエハ出し入れ口が上方向を向くように載置される。カセットステージ114は、カセット110を筐体111後方に右回り縦方向90°回転し、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体111後方を向くように動作可能となるよう構成されている。
筐体111内の前後方向の略中央下部には、カセット棚105が設置されている。カセット棚105は複数段複数列にわたり複数個のカセット110を保管するように構成されている。カセット棚105にはウエハ移載機構125の搬送対象となるカセット110が収納される移載棚123が設けられている。また、カセットステージ114の上方には予備カセット棚107が設置されており、予備のカセット110を保管するように構成されている。
カセットステージ114とカセット棚105との間にはカセット搬送装置118が設置されている。カセット搬送装置118は、カセット110を保持したまま昇降可能なカセットエレベータ118aと、搬送機構としてのカセット搬送機構118bとで構成されている。カセット搬送装置118は、カセットエレベータ118aとカセット搬送機構118bとの連続動作により、カセット110をカセットステージ114とカセット棚105と予備カセット棚107との間で搬送するようになっている。
カセット棚105の後方にはウエハ移載機構125が設置されている。ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置125aと、ウエハ移載装置125aを昇降させるためのウエハ移載装置エレベータ125bとで構成されている。ウエハ移載装置エレベータ125bは耐圧筐体111の右側端部に設置されている。ウエハ移載機構125は、ウエハ移載装置125aとウエハ移載装置エレベータ125bとの連続動作により、ウエハ移載装置125aのツイーザ125cでウエハ200をピックアップしてそのウエハ200をボート217に装填(チャージング)したり、ボート217から脱装(ディスチャージング)したりするように構成されている。
図3、図4に示す通り、筐体111の後部上方には処理炉202が設けられている。処理炉202の下端部は炉口シャッタ147により開閉されるように構成されている。
処理炉202の下方にはボート217を処理炉202に昇降させるためのボートエレベータ115が設置されている。ボートエレベータ115には連結具としてのアーム128が連結されており、アーム128には蓋体としてのシールキャップ219が水平に据え付けられている。シールキャップ219はボート217を垂直に支持するもので、処理炉202の下端部を閉塞可能なように構成されている。
ボート217は複数の保持部材を備えており、複数枚(例えば50〜150枚程度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。
図3、図4に示す通り、カセット棚105の上方には、清浄化した雰囲気であるクリーンエアを供給するクリーンユニット134aが設置されている。クリーンユニット134aは、供給ファン及び防塵フィルタで構成されており、クリーンエアを筐体111の内部に流通させるように構成されている。
ウエハ移載装置エレベータ125b及びボートエレベータ115側と反対側である筐体111の左側端部にも、クリーンエアを供給するクリーンユニット(図示略)が設置されている。当該クリーンユニットもクリーンユニット134aと同様に供給ファン及び防塵フィルタで構成されている。当該クリーンユニットから供給されたクリーンエアはウエハ移載装置125a、ボート217等の近傍を流通し、その後に筐体111の外部に排気されるようになっている。
次に、半導体デバイス製造装置101の動作について説明する。
図3、図4に示す通り、カセット110がカセットステージ114に供給されるに先立って、カセット搬入搬出口112がフロントシャッタ113によって開放される。その後、カセット110はカセット搬入搬出口112からカセットステージ114上に搬入される。このとき、カセット110内のウエハ200は垂直姿勢に保持され、カセット110のウエハ出し入れ口が上方向を向くように載置される。
その後、カセット110は、カセットステージ114によって、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体111の後方を向くように、右周り縦方向90°回転させられる。
次に、カセット110は、カセット棚105ないし予備カセット棚107の指定された棚位置へカセット搬送装置118によって自動的に搬送されて受け渡され、一時的に保管された後、カセット棚105ないし予備カセット棚107からカセット搬送装置118によって移載棚123に移載されるか、もしくは直接移載棚123に搬送される。
カセット110が移載棚123に移載されると、ウエハ200はカセット110からウエハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップされ、移載室124の後方にあるボート217に装填(チャージング)される。ボート217にウエハ200を受け渡したウエハ移載装置125aはカセット110に戻り、次のウエハ200をボート217に装填する。
予め指定された枚数のウエハ200がボート217に装填されると、炉口シャッタ147によって閉じられていた処理炉202の下端部が、炉口シャッタ147によって、開放される。続いて、ウエハ200群を保持したボート217は、シールキャップ219がボートエレベータ115によって上昇されることにより、処理炉202内へ搬入(ローディング)される。
ローディング後は、処理炉202にてウエハ200に任意の処理(後述参照)が実施される。処理後は、上記と逆の手順で、カセット110及びウエハ200が筐体111の外部に搬出される。
[処理炉構成]
図5に示す通り、処理炉202には加熱装置であるヒータ207が設けられている。ヒータ207の内側には、基板の一例であるウエハ200を収容可能な反応管203が設けられている。反応管203は石英で構成されている。反応管203の下方には、例えばステンレス等よりなるマニホールド209が設けられている。反応管203の下部およびマニホールド209の上部には、それぞれ環状のフランジが形成されている。
反応管203とマニホールド209との各フランジ間にはOリング220が設けられており、反応管203とマニホールド209との間が気密にシールされている。マニホールド209の下部は、Oリング220を介して蓋体であるシールキャップ219により気密に閉塞されている。処理炉202では、少なくとも、反応管203、マニホールド209及びシールキャップ219によりウエハ200を処理する処理室201が形成されている。
シールキャップ219には、ボート支持台218を介して基板保持部材であるボート217が立設されている。ボート支持台218はボート217を保持する保持体となっている。ボート217はボート支持台218に支持された状態で反応管203のほぼ中央部に配置されている。ボート217にはバッチ処理される複数のウエハ200が水平姿勢を保持しながら図5中上下方向に多段に積載されている。処理室201に収容されたウエハ200はヒータ207により所定の温度に加熱されるようになっている。
ボート217はボートエレベータ115(図3参照)により図5中上下方向に昇降自在となっており、反応管203に出入り(昇降)することができるようになっている。ボート217の下方には処理の均一性を向上する為にボート217を回転させるためのボート回転機構267が設けられており、ボート回転機構267により、ボート支持台218に保持されたボート217を回転させることができるようになっている。
処理室201には、2種類のガスを供給する2本のガス供給管232a、232bが接続されている。
ガス供給管232aには、上流から順に、流量制御装置である液体マスフローコントローラ240、気化器242、及び開閉弁であるバルブ243aが設けられている。ガス供給管232aにはキャリアガスを供給するキャリアガス供給管234aが接続されている。キャリアガス供給管234aには、上流から順に、流量制御装置であるマスフローコントローラ241b、及び開閉弁であるバルブ243cが設けられている。
ガス供給管232aの端部は石英製のノズル233aに接続されている。ノズル233aは、処理室201を構成している反応管203の内壁とウエハ200との間の円弧状の空間を図5中上下方向に延在している。ノズル233aの側面には複数のガス供給孔248aが形成されている。ガス供給孔248aは互いに同一の開口面積を有し、下方から上方にわたり同一の開口ピッチで形成されている。
ガス供給管232bには、上流から順に、流量制御装置であるマスフローコントローラ241a、及び開閉弁であるバルブ243bが設けられている。ガス供給管232bにはキャリアガスを供給するキャリアガス供給管234bが接続されている。キャリアガス供給管234bには、上流から順に、流量制御装置であるマスフローコントローラ241c、及び開閉弁であるバルブ243dが設けられている。
ガス供給管232bの端部は石英製のノズル233bに接続されている。ノズル233bは、処理室201を構成している反応管203の内壁とウエハ200との間の円弧状の空間を図5中上下方向に延在している。ノズル233bの側面には複数のガス供給孔248bが形成されている。ガス供給孔248bは互いに同一の開口面積を有し、下方から上方にわたり同一の開口ピッチで形成されている。
図6〜図9に示す通り、ノズル233bの内部には、ノズル233bを流通するガスを加熱するためのヒータ300(ヒータ線)が設けられている。図6に示す通り、ヒータ300はガス供給管232bの端部からノズル232bに通じている。図7に示す通り、ヒータ300は反応管203の内壁とボート217との間に形成された空間中を上下方向に延在しており、特に図8に示す通り、ノズル232bの上部において折り返されている。
図6、図8、図9に示す通り、ヒータ300は石英製の保護管302により被覆されている。保護管302はヒータ300の折返し部位(図8参照)に沿って逆U字状を呈しており、ヒータ300を完全に被覆している。本実施例では、ノズル233bにガスが流入すると、そのガスをヒータ300により加熱しながらガス供給孔248bから処理室201に供給可能となっている。
図5に示す通り、処理室201には処理室201内の雰囲気を排気するガス排気管231の一端部が接続されている。ガス排気管231の他端部は真空ポンプ246に接続されており、処理室201の内部を真空排気することができるようになっている。ガス排気管231にはバルブ243dが設けられている。バルブ243dは、弁を開閉して処理室201の真空排気・真空排気停止ができるとともに、弁開度を調節して圧力調整可能になっている開閉弁である。
以上の液体マスフローコントローラ240、マスフローコントローラ241a〜241c、バルブ243a〜243e、ヒータ207、300、真空ポンプ246、ボート回転機構267、ボートエレベータ115等の各部材は、制御部であるコントローラ280に接続されている。
コントローラ280は、液体マスフローコントローラ240の流量調整、マスフローコントローラ241a〜241cの流量調整、バルブ243a〜243dの開閉動作、バルブ243eの開閉及び圧力調整動作、ヒータ207、300の温度調節、真空ポンプ246の起動・停止、ボート回転機構267回転速度調節、ボートエレベータ115の昇降動作等を制御するようになっている。
[半導体デバイスの製造方法]
次に、本発明の好ましい実施例に係る半導体デバイスの製造方法であって、特に処理炉202を用いた成膜例について説明する。
処理炉202では、SiOやHfO、ZrOのような高誘電率膜をウエハ200に成膜することができる。
成膜材料である反応物質の一方として、SiO膜を形成する場合にはTDMASを使用することができ、HfO膜を形成する場合にはTEMAH(テトラキスメチルエチルアミノハフニウム、Hf(NEtMe))、Hf(O−tBu)、TDMAH(テトラキスジメチルアミノハフニウム、Hf(NMe)、TDEAH(テトラキスジエチルアミノハフニウム、Hf(NEt)、Hf(MMP)等を使用することができ、ZrO膜を形成する場合にはHfO膜を形成するのと同様、Zr(NEtMe)、Zr(O−tBu)、Zr(NMe、Zr(NEt、Zr(MMP)等を使用することができる。上記化学式中、「Et」はCを、「Me」はCHを、「O−tBu」はOC(CHを、「MMP」はOC(CHCHOCHをそれぞれ表している。
なお、反応物質の他方としてはOを用いることができる。
本実施例では、ALD法を用いた成膜処理例として、TEMAHとOとを反応物質として用い、ウエハ200に膜を形成する例について説明する。
ALD(Atomic Layer Deposition)法は、ある成膜条件(温度、時間等)の下で、成膜に用いる少なくとも2種類の原料となる反応性ガスを1種類ずつ交互に基板上に供給し、1原子層単位で基板上に吸着させ、表面反応を利用して成膜を行う手法である。このとき、膜厚の制御は、反応性ガスを供給するサイクル数で行う(例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合、成膜処理を20サイクル行う)。
ALD法では、例えばHfO膜を形成する場合、TEMAHとOとを用いて180〜300℃の低温で高品質の成膜が可能である。
まず、上述したように、ウエハ200をボート217に装填し、処理室201に搬入する。ボート217を処理室201に搬入後、後述する4つのステップを順に実行し、所定膜厚のHfO膜が形成されるまでステップ1からステップ4までの処理を繰り返し実行する(図10参照)。
(ステップ1)
ガス供給管232aにTEMAHを、キャリアガス供給管234aにキャリアガスを流す。当該キャリアガスとしてHe(ヘリウム)、Ne(ネオン)、Ar(アルゴン)、N(窒素)等を用いることができ、特に本実施例ではNを用いている。ガス供給管232aのバルブ243aを開ける。
TEMAHは液体マスフローコントローラ240に流量調整されながらガス供給管232aを流通し、その途中で気化器242により気化される。TEMAHの気化ガスはガス供給管232aからノズル233aに流入し、ガス供給孔248aから処理室201に供給されガス排気管231から排気される。
このとき、ガス排気管231のバルブ243eを適正に調整して処理室201内の圧力を26〜266Paの範囲であって、例えば66Paに維持する。また、ヒータ207を制御してウエハ200の温度を180〜300℃の範囲であって、例えば200℃となるよう設定する。
以上のステップ1では、TEMAHの気化ガスが処理室201に供給され、TEMAHがウエハ200の表面に吸着する。
(ステップ2)
ガス供給管232aのバルブ243aを閉め、TEMAHの供給を停止する。このとき、ガス排気管231のバルブ243eは開いたままとし、真空ポンプ246により処理室201内を20Pa以下となるまで排気し、処理室201内に残留したTEMAHの気化ガスを処理室201内から排気する。
処理室201内を所定時間排気した後、ガス供給管232aのバルブ243aを閉じた状態で、キャリアガス供給管234aのバルブ243cを開ける。マスフローコントローラ241bにより流量調整されたキャリアガスを処理室201内に供給して処理室201をN置換する。
(ステップ3)
ガス供給管232bにOガスを、キャリアガス供給管234bにキャリアガスを流す。当該キャリアガスとしてHe(ヘリウム)、Ne(ネオン)、Ar(アルゴン)、N(窒素)等を用いることができ、特に本実施例ではNを用いている。ガス供給管232bのバルブ243bと、キャリアガス供給管234bのバルブ243dとを開ける。
キャリアガスは、マスフローコントローラ241cで流量調整されながらキャリアガス供給管234bを流通し、キャリアガス供給管234bからガス供給管232bに流入する。他方、Oガスは、マスフローコントローラ241aで流量調整されながらガス供給管232bを流通し、その途中でキャリアガスと混合される。Oガスはキャリアガスと混合された状態でガス供給管232bからノズル233bに流入し、ノズル233bの内部であってノズル233bの内壁と保護管302との間の空間を流通し、ガス供給孔248bから処理室201に供給されガス排気管231から排気される。
このとき、ガス排気管231のバルブ243eを適正に調整して処理室201内の圧力を26〜266Paの範囲であって、例えば66Paに維持する。Oをウエハ200に晒す時間を概ね10〜120秒間とする。ウエハ200の温度を、ステップ1のTDMASの気化ガスの供給時と同じく、180〜300℃の範囲であって、例えば200℃となるようヒータ207を設定する。
ステップ3では、ノズル233b内のOの加熱温度は、ステップ1における(TEMAHの供給時の)処理室201内の制御温度やステップ3における処理室201内の制御温度と異なり、ノズル233b内のOの加熱温度をこれら制御温度より高温とする。例えば、ヒータ207を制御して処理室201内を200℃に制御した場合において、ヒータ300を制御してノズル233bの温度を300〜400℃に制御する。
これは、上記[成膜原理]で説明したように、Oの分解が温度に依存しており、処理室201内を低温にした場合においてはOの分解が十分に行われず、オゾンラジカルの供給が不十分になるためである。そこで、ステップ3ではOをノズル233b内で加熱して高温とし、オゾンラジカルを十分にウエハ200に供給することができるようにしている。
以上のステップ3では、Oが処理室201に供給され、ウエハ200の表面にすでに吸着しているTEMAHとOとが反応し、ウエハ200にHfO膜が形成される。
(ステップ4)
ガス供給管232bのバルブ243bを閉め、Oの供給を停止する。このとき、ガス排気管231のバルブ243eは開いたままとし、真空ポンプ246により処理室201内を20Pa以下となるまで排気し、処理室201内に残留したOを処理室201内から排気する。
処理室201内を所定時間排気した後、ガス供給管232bのバルブ243bを閉じた状態で、キャリアガス供給管234bのバルブ243dを開ける。マスフローコントローラ241cにより流量調整されたキャリアガスを処理室201内に供給して処理室201をN置換する。
以上の本実施例では、ノズル233b内にヒータ300を設置し、ステップ3においてOをヒータ300により加熱してOの加熱温度をTDMASの加熱温度や処理室201内の温度より高温とした状態でウエハ200に供給するから、Oから発生するオゾンラジカルは不活化されずに活性化された状態でウエハ200に供給されると考えられる。そのため、HfO膜の形成において、酸化剤に相当するOの供給量や供給時間を増大させることなくHfO膜の被覆性やローディング効果を改善することができ、ひいてはスループットが悪化したりCOOが悪化したりするのを未然に回避することができる。
なお、本実施例に係る半導体デバイスの製造方法において、上記では金属酸化膜としてHfO膜を形成する場合を想定して説明したが、反応物質の変更や膜種の変更に伴い、例えば、ヒータ207で制御する処理室201内の温度を20〜600℃の範囲内で、TEMAZ、OによるZrO膜形成の場合180〜300℃の範囲内で適宜変更してもよいし、ヒータ300で制御する反応物質(O等の酸化剤に相当する物質)の加熱温度を20〜600℃の範囲内で、好ましくは300〜400℃の範囲内で適宜変更してもよい。
処理室201内の温度は第1原料の特性により決定される。例えば、第1原料がTEMAHの場合、加熱速度熱量計ARC(Accelerating Rate Calorimeter)あるいは示差走査熱量計SC−DSC(Sealed CellDifferential Scanning Calorimeter)から求められた自己分解温度は271°であり、この温度を超えると急速に分解が始まる。一方、第2原料であるOは200℃以下ではほとんど分解しない。このため、TEMAH、O系においては200−250℃の処理室温度を用いている。第1原料がトリジスメチルアミノシランTDMASの場合、自己分解温度は508℃である。TDMAS、O3系でSiO膜を形成する場合は、300−500℃の温度域での成膜においてはOの十分な分解が見込めるが、300℃以下で成膜を行う場合においてはTEMAH同様、ヒータ300で制御する第2反応物質であるO等の酸化剤の加熱温度を20−600℃の範囲で、好ましくは30−400℃の範囲内で適宜変更する。
本発明の他の実施例に係る半導体デバイス製造装置やその製造方法についてより詳細に説明する。本実施例2に係る基板処理装置101は、ノズル233bの内部にヒータ300(ヒータ線)を設けてノズル233bを流通する第2の反応物質であるO等の酸化剤を加熱する代わりに、ノズル233bに紫外領域(UV)の光を発生させる機構を取り付ける点で主に異なる。
ノズル233bには、ノズル233bを流通するガスを励起するためのUV発生機構部として光源が設けられる。光源は、紫外領域であればどのような波長でもよいが、特に146nm、172nm、183nm等のVUV(Vacuum Ultra Violet:真空紫外線)を放射するVUVランプや、222nm、308nm、248nm、258nm等の各波長を主体とする波長の紫外線を放射するUVランプや、水銀ランプを用いることができる。
図11に示すように、本実施例2では、VUVランプ310が設置されている。VUVランプ310はノズル233bの内側に設置され、プラズマ励起部304を有し、プラズマ励起部304には電極306が取り付けられていて電極306に高周波電力を印加することによりVUV放電管308を点灯する。VUV放電管308にはXe, Krなどのガスが充填されていて、172nm, 146nmの波長を持つエキシマ光を取り出すことができる。
尚、VUVランプ310、電極306は、それぞれ制御部であるコントローラ280に接続されており、コントローラ280は電力印加等の所定の制御を行っている。
ノズル233bの内部に流通するOは、エキシマ光に曝されることで励起されてオゾンラジカルOとなり、活性化された状態で処理室201内のウエハ200に供給される。
また、水銀ランプやVUVランプを用いる他の実施形態として、図12、図13に示すようなノズル233bの内部にVUVランプ510を設置することができる。エキシマは無声放電(誘電体バリア放電)により励起される。
VUVランプ510は石英等の誘電体からなる中空円筒状(2重構造)の誘電体管520と、誘電体管520の外側に設けられ、網目状の金属からなる外部電極530と、誘電体管520の内側に設けられ、金属からなる内部電極531を有する。また、密閉された誘電体管520の内部550には放電ガスが充填されており、例えばXeが封入されている。さらに、外部電極530と内部電極531には高周波電源540が接続され、両電極に高周波電力を印加することにより、2つの誘電体の間(石英間隙)で細い針金状の誘電体バリア放電が多数発生する。この放電プラズマ内の高エネルギーの電子は、式(7)のように、放電ガスの原子や分子との衝突でエネルギーを奪われるため瞬時に消滅する。一方、エネルギーを与えられた放電ガスは励起状態となり、式(8)のように、中性原子と衝突して瞬間的にエキシマ状態Xeとなる。
e+Xe → Xe …(7)
Xe+2Xe → Xe +Xe …(8)
このエキシマ状態は不安定であり、基底状態へ遷移するときエネルギーを放出し、そのエキシマ特有のスペクトルを発光する。式(9)のように、Xeガスのエキシマ光の波長は172nmである。
Xe → Xe+Xe+hν(172nm) …(9)
ノズル233bの内部に流通するOは、エキシマ光に曝されることで励起されてオゾンラジカルOとなり、活性化された状態で処理室201内のウエハ200に供給される。
ノズル233bの内部にOを供給する際は、同時にヘリウム(He)を供給しても良い。
VUVランプ510、外部電極530、内部電極531、高周波電源540は、それぞれ制御部であるコントローラ280に接続されており、コントローラ280は電力印加等の所定の制御を行っている。
尚、外部電極530、内部電極531の形状は円筒状ではなく、誘電体管の一部を覆うのみでも良い。
尚、図14に示す通り、酸素ラジカルの基底状態は、分子状酸素より5.16eV高いエネルギーの3重項状態O(P)、更に高いエネルギー状態の一重項状態O(D)およびO(S)がある。ポテンシャルエネルギーが大きいと酸化力が大きい一方で寿命は短くなる。Oの熱解離によるポテンシャルエネルギーに比べVUVによるポテンシャルの方が大きく、酸化力もより大きくなる。励起して活性化させる酸化剤としては、例えばOやOを用いることができ、励起エネルギーによって使用する酸化剤を適宜選定する。
実施例2及び実施例3のように、OをVUV励起して活性化させることにより、300℃以下の低温においても十分にOラジカルをウエハへ供給することが可能となる。
実施例2又は実施例3によれば、TEMAHやTEMAZといった有機系化合物では成膜温度が200〜300℃と低温であるため、酸化剤であるOが十分に活性化されない状態で、HfOやZrO膜が形成されると所望の成膜速度が得られないだけでなく、酸化膜の被覆性が低下したり、ローディング効果が生じる問題があったが、酸化剤の供給量や供給時間を増大させることなくこれらの問題を改善することができる。
また、実施例3によれば、光源の周りにOやO等の酸化剤が流れる流路を設けて2重構造とすることで、成膜による光源隔壁のくもりを防止することが出来る。
以上、本発明の好ましい実施例を説明したが、本発明の好ましい実施の形態によれば、少なくとも1枚の基板を処理室内に搬入する基板搬入工程と、前記基板を加熱しながら第1の反応物質と酸素原子を含む第2の反応物質とを前記処理室内に交互に供給して前記基板上に酸化膜を形成する酸化膜形成工程と、前記基板を前記処理室内から搬出する基板搬出工程と、を備え、前記酸化膜形成工程では、基板温度が前記第1の反応物質の自己分解温度以下であり、前記第2の反応物質に紫外領域の光を照射することを特徴とする第1の半導体デバイスの製造方法が提供される。
上記の半導体デバイスの製造方法によれば、酸化膜を形成する工程において、酸化剤に相当する第2の反応物質に紫外領域の光を照射するから、第2の反応物質を活性化させた状態で基板に供給することができる。そのため、酸化膜の形成において、酸化剤に相当する第2の反応物質の供給量や供給時間を増大させることなく酸化膜の被覆性やローディング効果を改善することができ、ひいてはスループットが悪化したりCOOが悪化したりするのを未然に回避することができる。さらに、酸化剤に相当する第2の反応物質に紫外領域の光を照射して活性化させるから、300℃以下の低温においても十分な量の活性化した状態の第2の反応物質を基板へ供給することが出来る。
好ましくは、第1の半導体デバイスの製造方法において、前記酸化膜を形成する工程で、更に前記第2の反応物質としてオゾンを用いる際にオゾンが分解可能なように紫外線を照射することを特徴とする第2の半導体デバイスの製造方法が提供される。
本発明の他の好ましい実施の形態によれば、基板を収容する処理室と、
前記基板を加熱する加熱手段と、
前記処理室内に第1の反応物質を供給する第1のガス供給手段と、
前記処理室内に酸素原子を含む第2の反応物質を供給する第2のガス供給手段と、
前記処理室内の雰囲気を排気する排気手段と、
少なくとも前記加熱手段、前記第1のガス供給手段及び前記第2のガス供給手段を制御する制御部と、を有する半導体デバイス製造装置であって、
前記第2のガス供給手段は、前記第2の反応物質に紫外領域の光を照射して活性化させる紫外線発生機構を有し、
制御部は、前記第1のガス供給手段、前記第2のガス供給手段、前記加熱手段、前記排気手段及び前記紫外線発生機構を制御し、前記基板の温度を前記第1の反応物質の自己分解温度以下で加熱しつつ、前記第1の反応物質と、前記紫外線発生機構により活性化された前記第2の反応物質を交互に供給して酸化膜を形成することを特徴とする第1の半導体デバイス製造装置が提供される。
第1の半導体デバイス製造装置によれば、紫外線発生機構が第2のガス供給手段に設けられているから、第2の反応物質を活性化させた状態で基板に供給することができる。そのため、酸化膜の形成において、酸化剤に相当する第2の反応物質の供給量や供給時間を増大させることなく酸化膜の被覆性やローディング効果を改善することができ、ひいてはスループットが悪化したりCOOが悪化したりするのを未然に回避することができる。
好ましくは、第1の半導体デバイス製造装置において、前記紫外線発生機構は真空紫外線を放射する真空紫外線ランプであって、プラズマ励起部と、前記プラズマ励起部に接続され、高周波電力が印加される電極と、放電ガスが充填された放電管と、有し、前記制御部は、前記第2のガス供給手段及び前記真空紫外線ランプを制御して、前記電極に高周波電力を印加することで前記第2の反応物質を活性化させるよう制御する第2の半導体デバイス製造装置が提供される。
好ましくは、第1の半導体デバイス製造装置において、前記紫外線発生機構は真空紫外線を放射する真空紫外線ランプであって、誘電体からなり、2重構造を有する誘電体管と、前記誘電体管の外側に設けられる第1の電極と、前記誘電体管の内側に設けられる第2の電極と、前記第1の電極及び前記第2の電極に接続され高周波電力を印加する高周波電源と、有し、前記誘電体管の密閉された内部には放電ガスが充填され、前記制御部は、前記高周波電源により前記第1の電極及び第2の電極に高周波電力を印加し、前記放電ガスを励起して前記真空紫外線を放射させることで、前記第2の反応物質を活性化させるよう制御する第3の半導体デバイス製造装置が提供される。
本発明は、縦型バッチ装置について主に説明しているが、これに限らず、枚葉装置、横型装置にも適用可能である。
101 半導体デバイス製造装置
103 正面メンテナンス口
104 正面メンテナンス扉
105 カセット棚
107 予備カセット棚
110 カセット
111 筐体
111a 正面壁
112 カセット搬入搬出口
113 フロントシャッタ
114 カセットステージ
115 ボートエレベータ
118 カセット搬送装置
118a カセットエレベータ
118b カセット搬送機構
125 ウエハ移載機構
125a ウエハ移載装置
125b ウエハ移載装置エレベータ
125c ツイーザ
128 アーム
134a クリーンユニット
147 炉口シャッタ
200 ウエハ
201 処理室
202 処理炉
203 反応管
207 ヒータ
209 マニホールド
217 ボート
218 ボート支持台
219 シールキャップ
220 Oリング
231 ガス排気管
232a,232b ガス供給管
233a,233b ノズル
234a,234b キャリアガス供給管
240 液体マスフローコントローラ
241a〜241c マスフローコントローラ
242 気化器
243a〜243e バルブ
246 真空ポンプ
248a,248b ガス供給孔
267 ボート回転機構
280 コントローラ
300 ヒータ
302 保護管
304 VUV放電部
510:VUVランプ
520:誘電体管
530:外部電極
531:内部電極
540:高周波電源

Claims (5)

  1. 少なくとも1枚の基板を処理室内に搬入する基板搬入工程と、
    前記基板を加熱しながら第1の反応物質と酸素原子を含む第2の反応物質とを前記処理室内に交互に供給して前記基板上に酸化膜を形成する酸化膜形成工程と、
    前記基板を前記処理室内から搬出する基板搬出工程と、
    を備え、
    前記酸化膜形成工程では、基板温度が前記第1の反応物質の自己分解温度以下であり、前記第2の反応物質に紫外領域の光を照射することを特徴とする半導体デバイスの製造方法。
  2. 前記光は真空紫外領域の光であることを特徴とする請求項1に記載の半導体デバイスの製造方法。
  3. 基板を収容する処理室と、
    前記基板を加熱する加熱手段と、
    前記処理室内に第1の反応物質を供給する第1のガス供給手段と、
    前記処理室内に酸素原子を含む第2の反応物質を供給する第2のガス供給手段と、
    前記処理室内の雰囲気を排気する排気手段と、
    少なくとも前記加熱手段、前記第1のガス供給手段及び前記第2のガス供給手段を制御する制御部と、を有する基板処理装置であって、
    前記第2のガス供給手段は、前記第2の反応物質に紫外領域の光を照射して活性化させる紫外線発生機構を有し、
    制御部は、前記第1のガス供給手段、前記第2のガス供給手段、前記加熱手段、前記排気手段及び前記紫外線発生機構を制御し、前記基板の温度を前記第1の反応物質の自己分解温度以下で加熱しつつ、前記第1の反応物質と、前記紫外線発生機構により活性化された前記第2の反応物質を交互に供給して酸化膜を形成することを特徴とする基板処理装置。
  4. 前記紫外線発生機構は真空紫外線を放射する真空紫外線ランプであって、
    プラズマ励起部と、
    前記プラズマ励起部に接続され、高周波電力が印加される電極と、
    放電ガスが充填された放電管と、
    を有し、
    前記制御部は、前記第2のガス供給手段及び前記真空紫外線ランプを制御して、前記電極に高周波電力を印加することで前記オゾンを活性化させるよう制御することを特徴とする請求項3に記載の基板処理装置。
  5. 前記紫外線発生機構は真空紫外線を放射する真空紫外線ランプであって、
    誘電体からなり、2重構造を有する誘電体管と、
    前記誘電体管の外側に設けられる第1の電極と、
    前記誘電体管の内側に設けられる第2の電極と、
    前記第1の電極及び前記第2の電極に接続され高周波電力を印加する高周波電源と、
    を有し、
    前記誘電体管の密閉された内部には放電ガスが充填され、
    前記制御部は、前記高周波電源により前記第1の電極及び第2の電極に高周波電力を印加し、前記放電ガスを励起して前記真空紫外線を放射させることで、前記第2の反応物質を活性化させるよう制御することを特徴とする請求項3に記載の基板処理装置。
JP2009179630A 2008-10-07 2009-07-31 半導体デバイスの製造方法 Pending JP2010114420A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009179630A JP2010114420A (ja) 2008-10-07 2009-07-31 半導体デバイスの製造方法
US12/571,688 US20100087069A1 (en) 2008-10-07 2009-10-01 Method of manufacturing semiconductor device and substrate processing apparatus
KR1020090094797A KR101167508B1 (ko) 2008-10-07 2009-10-06 반도체 디바이스의 제조 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008260665 2008-10-07
JP2009179630A JP2010114420A (ja) 2008-10-07 2009-07-31 半導体デバイスの製造方法

Publications (1)

Publication Number Publication Date
JP2010114420A true JP2010114420A (ja) 2010-05-20

Family

ID=42076135

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009179630A Pending JP2010114420A (ja) 2008-10-07 2009-07-31 半導体デバイスの製造方法

Country Status (3)

Country Link
US (1) US20100087069A1 (ja)
JP (1) JP2010114420A (ja)
KR (1) KR101167508B1 (ja)

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR101295790B1 (ko) * 2010-12-03 2013-08-09 국제엘렉트릭코리아 주식회사 종형열처리 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
TW200529325A (en) * 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
JP4502189B2 (ja) * 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム

Also Published As

Publication number Publication date
US20100087069A1 (en) 2010-04-08
KR20100039263A (ko) 2010-04-15
KR101167508B1 (ko) 2012-07-23

Similar Documents

Publication Publication Date Title
JP2010114420A (ja) 半導体デバイスの製造方法
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
JP5921168B2 (ja) 基板処理装置
US7906168B2 (en) Film formation method and apparatus for forming silicon oxide film
US7923378B2 (en) Film formation method and apparatus for forming silicon-containing insulating film
US9206931B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TWI355029B (en) Method and apparatus for forming silicon oxide fil
TWI565829B (zh) A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium
US9970110B2 (en) Plasma processing apparatus
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
JP2008202107A (ja) 基板処理装置
US8168270B2 (en) Film formation method and apparatus for semiconductor process
WO2007083651A1 (ja) 半導体装置の製造方法
JP5568212B2 (ja) 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
WO2011093203A1 (ja) 半導体装置の製造方法、基板処理装置及び半導体装置
TWI407509B (zh) 垂直電漿加工裝置及使用其之方法
KR20090009744A (ko) 반도체 디바이스의 제조 방법
JP2011176177A (ja) 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
JP5421812B2 (ja) 半導体基板の成膜装置及び方法
JP2005197561A (ja) 基板処理装置
JP2005057133A (ja) 半導体デバイスの製造方法及び基板処理装置
JP2015015272A (ja) 半導体装置の製造方法及び基板処理装置
KR20220133270A (ko) 기판 처리 장치, 배기 장치, 반도체 장치의 제조 방법 및 프로그램
JP2012049349A (ja) 基板処理装置
JP2012084602A (ja) 半導体装置の製造方法及び基板処理装置システム