KR101167508B1 - 반도체 디바이스의 제조 방법 - Google Patents

반도체 디바이스의 제조 방법 Download PDF

Info

Publication number
KR101167508B1
KR101167508B1 KR1020090094797A KR20090094797A KR101167508B1 KR 101167508 B1 KR101167508 B1 KR 101167508B1 KR 1020090094797 A KR1020090094797 A KR 1020090094797A KR 20090094797 A KR20090094797 A KR 20090094797A KR 101167508 B1 KR101167508 B1 KR 101167508B1
Authority
KR
South Korea
Prior art keywords
substrate
processing chamber
gas supply
oxide film
reactant
Prior art date
Application number
KR1020090094797A
Other languages
English (en)
Other versions
KR20100039263A (ko
Inventor
히로노부 미야
가즈유키 도요다
마사노리 사카이
노리카즈 미즈노
츠토무 가토
유지 다케바야시
겐지 오노
아츠시 모리카와
사토시 오카다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20100039263A publication Critical patent/KR20100039263A/ko
Application granted granted Critical
Publication of KR101167508B1 publication Critical patent/KR101167508B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 산화제의 공급량이나 공급 시간을 증대시키는 일 없이 산화막의 피복성이나 로딩 효과를 개선하는 것이다.
적어도 1 매의 기판을 처리실 내에 반입하는 기판 반입 공정과, 상기 기판을 가열하면서 제1 반응 물질과 산소 원자를 포함하는 제2 반응 물질을 상기 처리실 내에 교대로 공급하여 상기 기판 상에 산화막을 형성하는 산화막 형성 공정과, 상기 기판을 상기 처리실 내로부터 반출하는 기판 반출 공정을 구비하고, 상기 산화막 형성 공정에서는, 기판 온도가 상기 제1 반응 물질의 자기 분해 온도 이하이며, 상기 제2 반응 물질에 자외(紫外) 영역의 광을 조사(照射)한 후 상기 처리실 내에 공급하는 것을 특징으로 하는 반도체 디바이스의 제조 방법이 제공된다.
산화막, 유기계 화합물

Description

반도체 디바이스의 제조 방법{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS}
본 발명은 반도체 디바이스의 제조 방법에 관한 것으로서, 특히 처리 대상이 되는 기판에 금속 산화막을 형성할 때에 유효한 기술에 관한 것이다.
최근, 반도체 디바이스의 고밀도화(高密度化)에 따라, 디바이스를 형성할 때의 절연막에 관해서도, 보다 얇은 막이 요구되어 왔다. 그러나, 절연막을 얇게 하면 터널 전류가 흐르기 때문에, 실효적으로는 얇게 하더라도 실제로는 터널 효과가 생기지 않는 두께로 하고 싶다는 요망이 있었고, 캐패시터(capacitor) 재료로서는 유전율(誘電率)이 큰 HfO2나 ZrO2 등의 고유전율(高誘電率) 금속 산화물에 주목이 집중되고 있다. 예를 들면, SiO2로 1.6nm 두께의 막을 형성하려고 하는 경우는 전기적(電氣的) 제약이 어렵지만, 고유전율막인 HfO2이면 4.5nm 두께로 동등한 유전율을 얻을 수 있다. 이와 같이, DRAM의 캐패시터를 중심으로 한 절연막으로서 고유전율막인 HfO2나 ZrO2의 채용이 가능하게 된다. 고유전율막의 형성 방법으로서는, 요부 (凹部) 매립성, 스텝 커버리지성이 뛰어난 ALD(Atomoic Layer Deposition) 성막 방법이 있다.
HfO2나 ZrO2 성막에 있어서는, 금속 원료로서 테트라에틸아미노하프늄(TEMAH:Hf[N(CH3)(C2H5)]4나 테트라아미노지르코늄(TEMAZ:Zr[N(CH3)(C2H5)]4 등의 아미드 화합물이 주로 이용된다. 산화물로서는 H2O(수증기)나 O3(오존)가 이용된다. ALD 성막에 있어서는 금속 재료인 TEMAH 혹은 TEMAZ와 산화제(예를 들면 O3)를 교대로 반응실에 공급함으로써 성막을 수행한다.
<특허 문헌 1> 일본 특허 공개 제2005-259966호 공보
<특허 문헌 2> 일본 특허 공개 제2006-66587호 공보
그러나, ALD법을 이용하여 저온에서 금속 산화막을 형성하는 방법에 있어서, 예를 들면 HfO2막을 형성하는 경우, 산화제인 O3이 충분히 활성화 되지 않은 상태에서 HfO2막이 형성되면, 원하는 성막 속도를 얻을 수 없을 뿐 아니라, 트렌치(trench)[홈(溝)] 구조를 갖는 패턴 웨이퍼의 웨이퍼 중앙부에 있어서 막두께가 저하하여 단차피복성(段差被覆性)이 나빠지거나, 뱃치(batch) 내에 있어서 패턴 웨이퍼의 장전(裝塡) 매수(枚數)에 의해 HfO2막의 피복성이 저하되거나, 패턴의 소밀(疎密)에 의해 막두께가 변동하는(이러한 현상을 로딩 효과라고 부름) 문제가 있다.
이 때, 성막 속도를 증대시켜 단차 피복성이나 로딩 효과를 개선하기 위해서 산화제(酸化劑)인 오존의 공급량이나 공급 시간을 증대하면, 성막 속도는 향상하여 단차 피복성이나 로딩 효과는 개선되지만, 성막 시간의 증대를 초래하여, 결과적으로 스루풋(throughput)이 악화되거나, 원료 소비량의 증대에 의한 제조 비용이 증대하여 COO(Cost of ownership:1매 당 제조 비용)의 악화를 초래하게 된다. 이들 종래 기술의 일례로서 특허 문헌 1, 특허 문헌 2가 있다.
본 발명의 주된 목적은, 산화막의 형성에 있어서, 산화제의 공급량이나 공급 시간을 증대시키지 않고 산화막의 피복성이나 로딩 효과를 개선할 수 있는 반도체 디바이스의 제조 방법을 제공하는 데 있다.
상기 과제를 해결하기 위한 본 발명에 따르면, 적어도 1 매의 기판을 처리실 내에 반입하는 기판 반입 공정과, 상기 기판을 가열하면서 제1 반응 물질과 산소 원자를 포함하는 제2 반응 물질을 상기 처리실 내에 교대로 공급하여 상기 기판 상에 산화막을 형성하는 산화막 형성 공정과, 상기 기판을 상기 처리실 내로부터 반출(搬出)하는 기판 반출 공정을 구비하고, 상기 산화막 형성 공정에서는, 기판 온도가 상기 제1 반응 물질의 자기(自己) 분해 온도 이하이며, 상기 제2 반응 물질로서 오존을 이용할 때에 오존에 자외(紫外) 영역의 광(光)을 조사(照射)한 후 상기 처리실 내에 공급하는 것을 특징으로 하는 반도체 디바이스의 제조 방법이 제공된다.
본 발명에 의하면, 산화막을 형성하는 공정에 있어서, 산화제에 상당하는 제2 반응 물질에 자외선을 조사함으로써, 제2 반응 물질을 활성화시킨 상태에서 기판에 공급할 수 있다. 그 때문에, 금속 산화막의 형성에 있어서, 산화제에 상당하는 제2 반응 물질의 공급량이나 공급 시간을 증대시키지 않고 금속 산화막의 성막 속도를 증대하여 피복성이나 로딩 효과를 개선할 수 있고, 나아가서는 스루풋이 악화되거나 COO가 악화되는 것을 미연에 회피할 수 있다.
이하, 도면을 참조하면서 본 발명의 바람직한 실시예를 설명한다.
<성막 원리>
처음에, 테트라에틸메틸아미노하프늄(TetraEthylMethylAminoHafnium)(TEMAH) 과 O3을 이용하여 ALD법에 의해 HfO2막을 형성하는 공정(금속 산화막 형성 공정)을 예로 하여 그 성막 원리에 대해 설명한다.
TEMAH와 O3을 처리실에 도입했을 때의 열분해 과정에 대해 생각해 보자.
도 1에 나타내는 바와 같이, Si 기판 상에는 Si-H 및 Si-OH의 결합이 존재한다. 처리실 내에 TEMAH가 공급되면, 도 1(1)에 나타내는 바와 같이, 그 TEMAH가 Si-OH에 흡착하여 에틸메틸아민(ethylmethylamin) N(C2H5)(CH3)이 방출된다.
그 후, 처리실 내에는 O3이 공급된다. O3이 공급되면, 도 1(2)에 나타내는 바와 같이, TEMAH 분자에 붙어 있는 에틸메틸아민 N(C2H5)(CH3)이 더욱 방출되어, Hf-O-Si 결합이 형성된다. O3이 더욱 공급되면, 도 1(3)(4)에 나타내는 바와 같이, Si-O-Hf[N(C2H5)(CH3)]-(O-Si)2, Si-O-Hf-(O-Si)3으로 나타내는 결합이 형성된다. 즉, 초기 과정에 있어서는, Hf분자는 에틸메틸아민 N(C2H5)(CH3)을 방출하여 기판의 Si와 Hf-O-Si를 순차적으로 형성하게 된다.
여기서, 산화제인 O3의 처리실 내에서의 열분해 공정을 생각해 볼 때, S. W. Benson과 A. E. Axworthy Jr. 는 O3의 분해를 반응식 1, 반응식 2로 나타냈다(오존 핸드북, 일본 오존 협회 발행).
Figure 112009061229317-pat00001
Figure 112009061229317-pat00002
반응식 1에서, 「M」은 N2, O2, CO2, O3 등의 제3 물질을 가리킨다.
반응식 1, 반응식 2의 반응은 수학식 1로 나타낸다.
Figure 112009061229317-pat00003
수학식 1에서, [O3]t:t 시간 후의 오존 농도,[O2]:산소 농도,[O3]s:초기 오존 농도, t:경과 시간이다.
반응식 1, 반응식 2에서, 「k1」, 「k2」, 「k3」은 수학식 2~수학식 4로 나타낸다.
k1=(4.61±0.25)×1015 exp(-24000/RT)cm3/mols-1 (M=O3의 경우)
k2=(6.00±0.33)×1015 exp(+600/RT) cm3/mols-1
k3=(2.96±0.21)×1015 exp(-6000/RT) cm3/mols-1
반응에 기여하는 것은 오존 래디컬(O*)이다. 뱃치(batch)식의 성막 장치에 있어서 다단으로 놓인 Si기판에 O*를 공급하는 경우, O*가 적으면, TEMAH와의 반응이 충분히 진행되지 않고, 예를 들면, 성막 속도를 충분히 확보할 수 없거나, Si기판의 중심부에 있어서의 스텝 커버리지나 로딩 효과의 특성이 나빠지는 등의 영향을 준다. 반응식 1, 수학식 1에 있어서, O*를 늘리기 위해서는, 처리실에 공급하는 O3 유량(流量)을 증대시키거나, O3의 가스 온도를 높게 하거나, 혹은 자외파장역(紫外波長域)의 광을 조사(照射)할 필요가 있다.
본 발명의 바람직한 실시예에서는, 종래의 O3 공급에 비해 O3 농도를 효과적으로 올리기 위한 방책을 제공한다.
<실시예 1>
도 2에 나타내는 바와 같이, 온도의 상승과 함께 기상(氣相) 중의 O3 농도는 저감한다.
예를 들면, O3/O217000ppm의 O3을 가열한 경우, 300℃에서의 O3 농도는 350ppm인데 반해 400℃에서의 O3 농도는 4ppm이다. 온도를 300℃로부터 400℃로 100℃ 상승시키는 것만으로, O3 농도는 약 1/70~1/80로 감소한다.
반응식 1로부터, O3농도가 감소하는 경우에, 1 몰의 O3의 분해에 의해 1 몰의 O*가 발생한다. 즉, O*의 발생량은 그 존재하는 장소의 온도를 300℃로부터 400℃로 상승시키면 약 70~80배로 증대하게 된다. 발생한 O*는 반응식 1의 역(逆)반응이나 반응식 2와 같이 O2 또는 O3과 반응하여 실질적인 농도가 저하한다. 이들 반응을 억제하기 위해서는, O*를 공급 대상인 Si기판 근방에서 발생시킬 필요가 있다. 이 방법으로서, 본 발명의 바람직한 실시예에서는, O3을 처리실에 공급하는 노즐의 내부에 히터를 설치하여, 공급 중의 O3을 당해 히터로 가열하는 방법을 채용한다[하기 참조, 도 6(a), 도 7~도 9 참조].
<장치 전체 구성>
상기 <성막 원리>에서 설명한 사항에 입각하여, 본 발명의 바람직한 실시예에 따른 반도체 디바이스 제조 장치나 그 제조 방법에 대해 보다 상세하게 설명한다.
처음에, 도 3, 도 4를 참조하면서, 본 발명의 바람직한 실시예에 따른 반도 체 디바이스의 제조 방법에 있어서의 처리 공정에서 사용되는 반도체 디바이스 제조 장치에 대해 설명한다.
도 3, 도 4에 나타내는 바와 같이, 반도체 디바이스 제조 장치(101)에서는, 실리콘 등의 재료로 구성되는 웨이퍼(200)를 수납한 웨이퍼 캐리어(wafer carrier)로서의 카세트(110)가 사용된다.
반도체 디바이스 제조 장치(101)는 광체(筐體, 111)를 구비하고 있다. 광체(111)의 정면벽(111a)의 하방에는 메인터넌스 가능하도록 설치된 개구부(開口部)로서의 정면 메인터넌스구(口)(103)가 개설(開設)되어 있다. 정면 메인터넌스구(103)에는 개폐가 자유자재로 가능한 정면 메인터넌스도어(104)가 설치되어 있다.
메인터넌스도어(104)에는, 카세트 반입 반출구(112)가 광체(111) 내외를 연통(連通)하도록 개설되어 있고, 카세트 반입 반출구(112)는 프론트 셔터(113)에 의해 개폐되도록 되어 있다.
카세트 반입 반출구(112)의 광체(111) 내측에는 카세트 스테이지(114)가 설치되어 있다. 카세트(110)는, 공장 내 반송 장치(도시 생략)에 의해, 카세트 스테이지(114) 상에 반입되거나, 카세트 스테이지(114) 상으로부터 반출되도록 되어 있다.
카세트 스테이지(114)는, 공장 내 반송 장치에 의해, 카세트(110) 내에서 웨이퍼(200)가 수직 자세를 보지(保持)하고, 카세트(110)의 웨이퍼 출입구가 상(上)방향을 향하도록 재치(載置)된다. 카세트 스테이지(114)는, 카세트(110)를 광 체(111) 후방에 우회전 종방향 90о회전하여, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출입구가 광체(111) 후방을 향하도록 동작 가능하게 되도록 구성되어 있다.
광체(111) 내의 전후(前後) 방향의 실질적으로 중앙 하부에는, 카세트 선반(105)이 설치되어 있다. 카세트 선반(105)은 복수 단(段) 복수 열(列)에 걸쳐 복수 개의 카세트(110)를 보관하도록 구성되어 있다. 카세트 선반(105)에는 웨이퍼 이재(移載) 기구(125)의 반송 대상이 되는 카세트(110)가 수납되는 이재 선반(123)이 설치되어 있다. 또한, 카세트 스테이지(114)의 상방(上方)에는 예비 카세트 선반(107)이 설치되어 있어, 예비 카세트(110)를 보관하도록 구성되어 있다.
카세트 스테이지(114)와 카세트 선반(105)과의 사이에는 카세트 반송 장치(118)가 설치되어 있다. 카세트 반송 장치(118)는, 카세트(110)를 보지한 상태로 승강 가능한 카세트 엘리베이터(118a)와, 반송 기구로서의 카세트 반송 기구(118b)로 구성되어 있다. 카세트 반송 장치(118)는, 카세트 엘리베이터(118a)와 카세트 반송 기구(118b)와의 연속 동작에 의해, 카세트(110)를 카세트 스테이지(114)와 카세트 선반(105)과 예비 카세트 선반(107)과의 사이에서 반송하도록 되어 있다.
카세트 선반(105)의 후방에는 웨이퍼 이재 기구(125)가 설치되어 있다. 웨이퍼 이재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 내지 직동(直動) 가능한 웨이퍼 이재 장치(125a)와, 웨이퍼 이재 장치(125a)를 승강시키기 위한 웨이퍼 이재 장치 엘리베이터(125b)로 구성되어 있다. 웨이퍼 이재 장치 엘리베이터(125b)는 내압(耐壓) 광체(111)의 우측 단부(端部)에 설치되어 있다. 웨이퍼 이재 기구(125)는, 웨이퍼 이재 장치(125a)와 웨이퍼 이재 장치 엘리베이터(125b)와의 연속 동작에 의해, 웨이퍼 이재 장치(125a)의 트위저(tweezer, 125c)로 웨이퍼(200)를 픽업(pick up)하여 그 웨이퍼(200)를 보트(217)에 장전(charging)하거나, 보트(217)로부터 탈장(脫裝)(discharging)하도록 구성되어 있다.
도 3, 도 4에 나타내는 바와 같이, 광체(111)의 후부(後部) 상방에는 처리로(處理爐, 202)가 설치되어 있다. 처리로(202)의 하단부는 노구 셔터(147)에 의해 개폐되도록 구성되어 있다.
처리로(202)의 하방에는 보트(217)를 처리로(202)에 승강시키기 위한 보트 엘리베이터(115)가 설치되어 있다. 보트 엘리베이터(115)에는 연결구(具)로서의 암(arm, 128)이 연결되어 있고, 암(128)에는 덮개로서의 씰 캡(219)이 수평으로 설치되어 있다. 씰 캡(219)은 보트(217)를 수직으로 지지하는 것으로, 처리로(202)의 하단부를 폐색(閉塞) 가능하도록 구성되어 있다.
보트(217)는 복수의 보지 부재를 구비하고 있고, 복수 매(예를 들면 50~150 매 정도)의 웨이퍼(200)를 그 중심을 가지런히 맞추어 수직 방향으로 정렬시킨 상태에서, 각각 수평으로 보지하도록 구성되어 있다.
도 3, 도 4에 나타내는 바와 같이, 카세트 선반(105)의 상방에는, 청정화(淸淨化)된 분위기인 클린 에어(clean air)를 공급하는 클린 유닛(clean unit, 134a)이 설치되어 있다. 클린 유닛(134a)은, 공급 팬(pan) 및 방진(防塵) 필터로 구성되어 있고, 클린 에어를 광체(111)의 내부로 유통시키도록 구성되어 있다.
웨이퍼 이재 장치 엘리베이터(125b) 및 보트 엘리베이터(115)측과 반대측인 광체(111)의 좌측 단부에도, 클린 에어를 공급하는 클린 유닛(도시 생략)이 설치되어 있다. 당해 클린 유닛도 클린 유닛(134a)과 마찬가지로 공급 팬 및 방진 필터로 구성되어 있다. 당해 클린 유닛으로부터 공급된 클린 에어는 웨이퍼 이재 장치(125a), 보트(217) 등의 근방을 유통(流通)하고, 그 후에 광체(111)의 외부에 배기(排氣)되도록 되어 있다.
다음으로, 반도체 디바이스 제조 장치(101)의 동작에 대해 설명한다.
도 3, 도 4에 나타내는 바와 같이, 카세트(110)가 카세트 스테이지(114)에 공급되기에 앞서, 카세트 반입 반출구(112)가 프론트 셔터(113)에 의해 개방된다. 그 후, 카세트(110)는 카세트 반입 반출구(112)로부터 카세트 스테이지(114) 상에 반입된다. 이 때, 카세트(110) 내의 웨이퍼(200)는 수직 자세로 보지되고, 카세트(110)의 웨이퍼 출입구가 상방향을 향하도록 재치된다.
그 후, 카세트(110)는, 카세트 스테이지(114)에 의해, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출입구가 광체(111)의 후방을 향하도록, 우회전 종방향으로 90о회전된다.
다음으로, 카세트(110)는, 카세트 선반(105) 내지 예비 카세트 선반(107)이 지정된 선반 위치에 카세트 반송 장치(118)에 의해 자동적으로 반송되어 수도(受渡)되고, 일시적으로 보관된 후, 카세트 선반(105) 내지 예비 카세트 선반(107)으로부터 카세트 반송 장치(118)에 의해 이재 선반(123)에 이재되거나, 혹은 직접 이 재 선반(123)에 반송된다.
카세트(110)가 이재 선반(123)에 이재되면, 웨이퍼(200)는 카세트(110)로부터 웨이퍼 이재 장치(125a)의 트위저(125c)에 의해 웨이퍼 출입구를 통해서 픽업되고, 이재실(124)의 후방에 있는 보트(217)에 장전(charging) 된다. 보트(217)에 웨이퍼(200)를 수도한 웨이퍼 이재 장치(125a)는 카세트(110)로 되돌아오고, 다음의 웨이퍼(200)를 보트(217)에 장전한다.
미리 지정된 매수(枚數)의 웨이퍼(200)가 보트(217)에 장전되면, 노구 셔터(147)에 의해 닫혀져 있던 처리로(202)의 하단부가, 노구 셔터(147)에 의해, 개방된다. 이어서, 웨이퍼(200) 군(群)을 보지한 보트(217)는, 씰 캡(219)이 보트 엘리베이터(115)에 의해 상승됨으로써, 처리로(202) 내로 반입(loading)된다.
로딩 후에는, 처리로(202)에서 웨이퍼(200)에 임의의 처리(후술 참조)가 실시된다. 처리 후에는, 상기와 반대의 순서로, 카세트(110) 및 웨이퍼(200)가 광체(111)의 외부로 반출된다.
<처리로 구성>
도 5에 나타내는 바와 같이, 처리로(202)에는 가열 장치인 히터(207)가 설치되어 있다. 히터(207)의 내측에는, 기판의 일례(一例)인 웨이퍼(200)를 수용 가능한 반응관(203)이 설치되어 있다. 반응관(203)은 석영으로 구성되어 있다. 반응관(203)의 하방에는, 예를 들면 스테인리스 등으로 이루어지는 매니폴드(manifold, 209)가 설치되어 있다. 반응관(203)의 하부 및 매니폴드(209)의 상부에는, 각각 환(環) 형상의 플랜지(flange)가 형성되어 있다.
반응관(203)과 매니폴드(209)와의 각 플랜지 사이에는 O링(220)이 설치되어 있고, 반응관(203)과 매니폴드(209)와의 사이가 기밀(氣密)하게 씰되어 있다. 매니폴드(209)의 하부는, O링(220)을 개재하여 덮개인 씰 캡(219)에 의해 기밀(氣密)하게 폐색되어 있다. 처리로(202)에서는, 적어도, 반응관(203), 매니폴드(209) 및 씰 캡(219)에 의해 웨이퍼(200)를 처리하는 처리실(201)이 형성되어 있다.
씰 캡(219)에는, 보트 지지대(218)를 개재하여 기판 보지 부재인 보트(217)가 입설(立設)되어 있다. 보트 지지대(218)는 보트(217)를 보지하는 보지체(保持體)가 되어 있다. 보트(217)는 보트 지지대(218)에 지지된 상태에서 반응관(203)의 실질적으로 중앙부에 배치되어 있다. 보트(217)에는 뱃치(batch) 처리되는 복수의 웨이퍼(200)가 수평 자세를 보지하면서 도 5에서 상하 방향에 다단으로 적재(積載)되어 있다. 처리실(201)에 수용된 웨이퍼(200)는 히터(207)에 의해 소정의 온도로 가열되도록 되어 있다.
보트(217)는 보트 엘리베이터(115)(도 3 참조)에 의해 도 5에서 상하 방향으로 승강이 자유자재로 가능하도록 되어 있고, 반응관(203)에 출입(승강)할 수 있도록 되어 있다. 보트(217)의 하방에는 처리의 균일성을 향상시키기 위해서 보트(217)를 회전시키기 위한 보트 회전 기구(267)가 설치되어 있고, 보트 회전 기구(267)에 의해, 보트 지지대(218)에 보지된 보트(217)를 회전시킬 수 있도록 되어 있다.
처리실(201)에는, 2 종류의 가스를 공급하는 2 개의 가스 공급관(232a, 232b)이 접속되어 있다.
가스 공급관(232a)에는, 상류부터 차례로, 유량 제어 장치인 액체 매스 플로우 컨트롤러(240), 기화기(242) 및 개폐 밸브인 밸브(243a)가 설치되어 있다. 가스 공급관(232a)에는 캐리어 가스를 공급하는 캐리어 가스 공급관(234a)이 접속되어 있다. 캐리어 가스 공급관(234a)에는, 상류부터 차례로, 유량 제어 장치인 매스 플로우 컨트롤러(241b) 및 개폐 밸브인 밸브(243c)가 설치되어 있다.
가스 공급관(232a)의 단부(端部)는 석영제(石英製)의 노즐(233a)에 접속되어 있다. 노즐(233a)은, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)와의 사이의 원호 형상의 공간을 도 5에서 상하 방향으로 연재(延在)하고 있다. 노즐(233a)의 측면에는 복수의 가스 공급공(248a)이 형성되어 있다. 가스 공급공(248a)은 서로 동일한 개구 면적을 가지고, 하방으로부터 상방에 걸쳐 동일한 개구 핏치로 형성되어 있다.
가스 공급관(232b)에는, 상류부터 차례로, 유량 제어 장치인 매스 플로우 컨트롤러(241a) 및 개폐 밸브인 밸브(243b)가 설치되어 있다. 가스 공급관(232b)에는 캐리어 가스를 공급하는 캐리어 가스 공급관(234b)이 접속되어 있다. 캐리어 가스 공급관(234b)에는, 상류부터 차례로, 유량 제어 장치인 매스 플로우 컨트롤러(241c) 및 개폐 밸브인 밸브(243d)가 설치되어 있다.
가스 공급관(232b)의 단부는 석영제의 노즐(233b)에 접속되어 있다. 노즐(233b)은, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)와의 사이의 원호 형상의 공간을 도 5에서 상하 방향으로 연재하고 있다. 노즐(233b)의 측면에는 복수의 가스 공급공(248b)이 형성되어 있다. 가스 공급공(248b)은 서로 동일한 개구 면적을 갖고, 하방으로부터 상방에 걸쳐 동일한 개구 핏치로 형성되어 있다.
도 6~도 9에 나타내는 바와 같이, 노즐(233b)의 내부에는, 노즐(233b)을 유통하는 가스를 가열하기 위한 히터(300)(히터선)가 설치되어 있다. 도 6에 나타내는 바와 같이, 히터(300)는 가스 공급관(232b)의 단부(端部)로부터 노즐(233b)에 통해 있다. 도 7에 나타내는 바와 같이, 히터(300)는 반응관(203)의 내벽과 보트(217) 사이에 형성된 공간 속을 상하 방향으로 연재하고 있고, 특히 도 8에 나타내는 바와 같이, 노즐(233b)의 상부에 있어서 되접어 꺾어져 있다.
도 6, 도 8, 도 9에 나타내는 바와 같이, 히터(300)는 석영제의 보호관(302)에 의해 피복(被覆)되어 있다. 보호관(302)은 히터(300)의 되접어 꺾은 부위(도 8 참조)를 따라 역 U자 형상을 띠고 있어, 히터(300)를 완전하게 피복하고 있다. 본 실시예에서는, 노즐(233b)에 가스가 유입하면, 그 가스를 히터(300)에 의해 가열하면서 가스 공급공(248b)으로부터 처리실(201)에 공급 가능하도록 되어 있다.
도 5에 나타내는 바와 같이, 처리실(201)에는 처리실(201) 내의 분위기를 배기하는 가스 배기관(231)의 일단부(一端部)가 접속되어 있다. 가스 배기관(231)의 타단부(他端部)는 진공 펌프(246)에 접속되어 있어, 처리실(201)의 내부를 진공 배기할 수 있도록 되어 있다. 가스 배기관(231)에는 밸브(243d)가 설치되어 있다. 밸브(243d)는, 밸브를 개폐하여 처리실(201)의 진공 배기?진공 배기 정지를 할 수 있음과 함께, 밸브 개방도(開度)를 조절하여 압력 조정 가능하도록 되어 있는 개폐 밸브이다.
이상의 액체 매스 플로우 컨트롤러(240), 매스 플로우 컨트롤러(241a~241c), 밸브(243a~243e), 히터(207, 300), 진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115) 등의 각 부재는, 제어부인 컨트롤러(280)에 접속되어 있다.
컨트롤러(280)는, 액체 매스 플로우 컨트롤러(240)의 유량 조정, 매스 플로우 컨트롤러(241a~241c)의 유량 조정, 밸브(243a~243d)의 개폐 동작, 밸브(243e)의 개폐 및 압력 조정 동작, 히터(207, 300)의 온도 조절, 진공 펌프(246)의 기동(起動)?정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 엘리베이터(115)의 승강 동작 등을 제어하도록 되어 있다.
<반도체 디바이스의 제조 방법>
다음으로, 본 발명의 바람직한 실시예에 따른 반도체 디바이스의 제조 방법으로서, 특히 처리로(202)를 이용한 성막예에 대해 설명한다.
처리로(202)에서는, SiO2나 HfO2, ZrO2와 같은 고유전율막을 웨이퍼(200)에 성막할 수 있다.
성막 재료인 반응 물질의 하나로서, SiO2막을 형성하는 경우에는 TDMAS를 사용할 수 있고, HfO2막을 형성하는 경우에는 TEMAH[테트라키스메틸에틸아미노하프늄, Hf(NEtMe)4], Hf(O-tBu)4, TDMAH[테트라키스디메틸아미노하프늄, Hf(NMe2)4], TDEAH[테트라키스디에틸아미노하프늄, Hf(NEt2)4], Hf(MMP)4 등을 사용할 수 있고, ZrO2막을 형성하는 경우에는 HfO2막을 형성하는 것과 마찬가지로, Zr(NEtMe)4, Zr(O -tBu)4, Zr(NMe2)4, Zr(NEt2)4, Zr(MMP)4 등을 사용할 수 있다. 상기 화학식 중, 「Et」는 C2H5를, 「Me」는 CH3를, 「O-tBu」는 OC(CH3)3을, 「MMP」는 OC(CH3)2CH2OCH3을 각각 나타내고 있다.
한편, 반응 물질의 다른 하나로서는 O3을 이용할 수 있다.
본 실시예에서는, ALD법을 이용한 성막 처리예로서, TEMAH와 O3을 반응 물질로서 이용하고, 웨이퍼(200)에 막을 형성하는 예에 대해 설명한다.
ALD(Atomic Layer Deposition)법은, 어느 성막 조건(온도, 시간 등) 하에서, 성막에 이용하는 적어도 2 종류의 원료가 되는 반응성 가스를 1 종류씩 교대로 기판 상에 공급하고, 1 원자층 단위로 기판 상에 흡착시키고, 표면 반응을 이용하여 성막을 수행하는 방법이다. 이 때, 막두께의 제어는, 반응성 가스를 공급하는 사이클 수로 수행한다(예를 들면, 성막 속도가 1Å/사이클로 하면, 20Å의 막을 형성하는 경우, 성막 처리를 20 사이클 수행한다).
ALD법에서는, 예를 들면 HfO2막을 형성하는 경우, TEMAH와 O3을 이용하여 180~300℃의 저온에서 고품질의 성막이 가능하다.
우선, 상술한 바와 같이, 웨이퍼(200)를 보트(217)에 장전하고, 처리실(201)에 반입한다. 보트(217)를 처리실(201)에 반입한 후, 후술하는 4 개의 스텝을 차례로 실행하고, 소정 막두께의 HfO2막이 형성될 때까지 스텝 1부터 스텝 4까지의 처리를 반복하여 실행한다(도 10 참조).
<스텝 1>
가스 공급관(232a)에 TEMAH를, 캐리어 가스 공급관(234a)에 캐리어 가스를 흘린다. 당해 캐리어 가스로서 He(헬륨), Ne(네온), Ar(아르곤), N2(질소) 등을 이용할 수 있고, 특히 본 실시예에서는 N2를 이용하고 있다. 가스 공급관(232a)의 밸브(243a)를 개방한다.
TEMAH는 액체 매스 플로우 컨트롤러(240)에 유량 조정되면서 가스 공급관(232a)을 유통하고, 그 도중에서 기화기(氣化器, 242)에 의해 기화된다. TEMAH의 기화 가스는 가스 공급관(232a)으로부터 노즐(233a)에 유입하고, 가스 공급공(248a)으로부터 처리실(201)에 공급되어 가스 배기관(231)으로부터 배기된다.
이 때, 가스 배기관(231)의 밸브(243e)를 적정하게 조정하여 처리실(201) 내의 압력을 26~266Pa의 범위로서, 예를 들면 66Pa로 유지한다. 또한, 히터(207)를 제어하여 웨이퍼(200)의 온도를 180~300℃의 범위로서, 예를 들면 200℃가 되도록 설정한다.
이상의 스텝 1에서는, TEMAH의 기화 가스가 처리실(201)에 공급되고, TEMAH가 웨이퍼(200)의 표면에 흡착한다.
<스텝 2>
가스 공급관(232a)의 밸브(243a)를 닫아, TEMAH의 공급을 정지한다. 이 때, 가스 배기관(231)의 밸브(243e)는 개방한 상태로 하고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa 이하가 될 때까지 배기하고, 처리실(201) 내에 잔류한 TEMAH 의 기화 가스를 처리실(201) 내로부터 배기한다.
처리실(201) 내를 소정 시간 배기한 후, 가스 공급관(232a)의 밸브(243a)를 닫은 상태에서, 캐리어 가스 공급관(234a)의 밸브(243c)를 개방한다. 매스 플로우 컨트롤러(241b)에 의해 유량 조정된 캐리어 가스를 처리실(201) 내에 공급하여 처리실(201)을 N2 치환한다.
<스텝 3>
가스 공급관(232b)에 O3 가스를, 캐리어 가스 공급관(234b)에 캐리어 가스를 흘린다. 당해 캐리어 가스로서 He(헬륨), Ne(네온), Ar(아르곤), N2(질소) 등을 이용할 수 있고, 특히 본 실시예에서는 N2를 이용하고 있다. 가스 공급관(232b)의 밸브(243b)와, 캐리어 가스 공급관(234b)의 밸브(243d)를 개방한다.
캐리어 가스는, 매스 플로우 컨트롤러(241c)로 유량 조정되면서 캐리어 가스 공급관(234b)을 유통하고, 캐리어 가스 공급관(234b)으로부터 가스 공급관(232b)에 유입한다. 한편, O3 가스는, 매스 플로우 컨트롤러(241a)로 유량 조정되면서 가스 공급관(232b)을 유통하고, 그 도중(途中)에서 캐리어 가스와 혼합된다. O3 가스는 캐리어 가스와 혼합된 상태에서 가스 공급관(232b)으로부터 노즐(233b)에 유입하고, 노즐(233b)의 내부로서 노즐(233b)의 내벽과 보호관(302)과의 사이의 공간을 유통하고, 가스 공급공(248b)으로부터 처리실(201)에 공급되어 가스 배기관(231)으로부터 배기된다.
이 때, 가스 배기관(231)의 밸브(243e)를 적정하게 조정하여 처리실(201) 내의 압력을 26~266Pa의 범위로서, 예를 들면 66Pa로 유지한다. O3을 웨이퍼(200)에 노출하는 시간을 대체로 10~120초간으로 한다. 웨이퍼(200)의 온도를, 스텝 1의 TDMAS의 기화 가스의 공급시와 동일하게, 180~300℃의 범위로서, 예를 들면 200℃가 되도록 히터(207)를 설정한다.
스텝 3에서는, 노즐(233b) 내의 O3의 가열 온도는, 스텝 1에 있어서의(TEMAH의 공급시의) 처리실(201) 내의 제어 온도나 스텝 3에 있어서의 처리실(201) 내의 제어 온도와 달리, 노즐(233b) 내의 O3의 가열 온도를 이들 제어 온도보다 고온으로 한다. 예를 들면, 히터(207)를 제어하여 처리실(201) 내를 200℃로 제어한 경우에 있어서, 히터(300)를 제어하여 노즐(233b)의 온도를 300~400℃로 제어한다.
이것은, 상기 <성막 원리>에서 설명한 바와 같이, O3의 분해가 온도에 의존하고 있고, 처리실(201) 내를 저온으로 한 경우에 있어서는 O3의 분해가 충분히 이루어지지 않고, 오존 래디컬(radical)의 공급이 불충분하게 되기 때문이다. 그래서, 스텝 3에서는 O3을 노즐(233b) 내에서 가열하여 고온으로 하고, 오존 래디컬을 충분히 웨이퍼(200)에 공급할 수 있도록 하고 있다.
이상의 스텝 3에서는, O3이 처리실(201)에 공급되고, 웨이퍼(200)의 표면에 이미 흡착하고 있는 TEMAH와 O3이 반응하여, 웨이퍼(200)에 HfO2막이 형성된다.
<스텝 4>
가스 공급관(232b)의 밸브(243b)를 닫아, O3의 공급을 정지한다. 이 때, 가스 배기관(231)의 밸브(243e)는 개방한 상태로 하고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa 이하가 될 때까지 배기하고, 처리실(201) 내에 잔류한 O3을 처리실(201) 내로부터 배기한다.
처리실(201) 내를 소정 시간 배기한 후, 가스 공급관(232b)의 밸브(243b)를 닫은 상태에서, 캐리어 가스 공급관(234b)의 밸브(243d)를 개방한다. 매스 플로우 컨트롤러(241c)에 의해 유량 조정된 캐리어 가스를 처리실(201) 내에 공급하여 처리실(201)을 N2 치환한다.
이상의 본 실시예에서는, 노즐(233b) 내에 히터(300)를 설치하고, 스텝 3에 있어서 O3을 히터(300)에 의해 가열하여 O3의 가열 온도를 TDMAS의 가열 온도나 처리실(201)내의 온도보다 고온으로 한 상태에서 웨이퍼(200)에 공급하기 때문에, O3으로부터 발생하는 오존 래디컬은 불활화(不活化)되지 않고 활성화된 상태에서 웨이퍼(200)에 공급되는 것으로 생각할 수 있다.
그 때문에, HfO2막의 형성에 있어서, 산화제에 상당하는 O3의 공급량이나 공급 시간을 증대시키지 않고 HfO2막의 피복성이나 로딩 효과를 개선할 수 있고, 나아가서는 스루풋이 악화되거나 COO가 악화되는 것을 미연에 회피할 수 있다.
한편, 본 실시예에 따른 반도체 디바이스의 제조 방법에 있어서, 상기에서는 금속 산화막으로서 HfO2막을 형성하는 경우를 상정(想定)해서 설명했는데, 반응 물 질의 변경이나 막종(膜種)의 변경에 따라, 예를 들면, 히터(207)로 제어하는 처리실(201) 내의 온도를 20~600℃의 범위 내에서, TEMAZ, O3에 의한 ZrO2막 형성의 경우 180~300℃의 범위 내에서 적절히 변경해도 좋고, 히터(300)로 제어하는 반응 물질(O3 등의 산화제에 상당하는 물질)의 가열 온도를 20~600℃의 범위 내에서, 바람직하게는 300~400℃의 범위 내에서 적절히 변경해도 좋다.
처리실(201) 내의 온도는 제1 원료의 특성에 의해 결정(決定)된다. 예를 들면, 제1 원료가 TEMAH인 경우, 가열 속도 열량계 ARC(Accelerating Rate Calorimeter) 혹은 시차 주사 열량계 SC-DSC(Sealed CellDifferential Scanning Calorimeter)로부터 구해진 자기(自己) 분해 온도는 271о이며, 이 온도를 넘으면 급속하게 분해가 시작된다. 한편, 제2 원료인 O3은 200℃ 이하에서는 거의 분해하지 않는다. 이 때문에, TEMAH, O3계에 대해 200~250℃의 처리실 온도를 이용하고 있다. 제1 원료가 트리스디메틸아미노실란(TrisDiMethylAminoSilane) TDMAS의 경우, 자기 분해 온도는 508℃이다. TDMAS, O3계로 SiO2막을 형성하는 경우는, 300~500℃의 온도 영역에서의 성막에 있어서는 O3의 충분한 분해가 예상되는데, 300℃ 이하에서 성막을 수행하는 경우에 있어서는 TEMAH와 마찬가지로, 히터(300)로 제어하는 제2 반응 물질인 O3 등의 산화제의 가열 온도를 20~600℃의 범위로, 바람직하게는 30~400℃의 범위 내에서 적절히 변경한다.
<실시예 2>
본 발명의 다른 실시예에 따른 반도체 디바이스 제조 장치나 그 제조 방법에 대해 보다 상세하게 설명한다. 본 실시예 2에 따른 기판 처리 장치(101)는, 노즐(233b)의 내부에 히터(300)(히터선)를 설치하여 노즐(233b)을 유통하는 제2 반응 물질인 O3 등의 산화제를 가열하는 대신에, 노즐(233b)에 자외 영역(UV)의 광을 발생시키는 기구를 장착하는 점에서 주로 다르다.
노즐(233b)에는, 노즐(233b)을 유통하는 가스를 여기(勵起)하기 위한 UV 발생 기구부로서 광원(光源)이 설치된다. 광원은, 자외 영역이면 어떠한 파장이어도 무방한데, 특히 146nm, 172nm, 183nm 등의 VUV(Vacuum Ultra Violet:진공 자외선)을 방사(放射)하는 VUV 램프나, 222nm, 308nm, 248nm, 258nm 등의 각 파장을 주체(主體)로 하는 파장의 자외선을 방사하는 UV 램프나, 수은 램프를 이용할 수 있다.
도 11에 나타내는 바와 같이, 본 실시예 2에서는, VUV 램프(310)가 설치되어 있다. VUV 램프(310)는 노즐(233b)의 내측에 설치되고, 플라즈마 여기부(勵起部, 304)를 가지며, 플라즈마 여기부(304)에는 전극(306)이 장착되어 있어 전극(306)에 고주파 전력을 인가(印加)함으로써 VUV 방전관(放電管, 308)을 점등한다. VUV 방전관(308)에는 Xe2, Kr2 등의 가스가 충전되어 있어, 172nm, 146nm의 파장을 갖는 엑시머 광(光)을 취출(取出)할 수 있다.
한편, VUV 램프(310), 전극(306)은, 각각 제어부인 컨트롤러(280)에 접속되 어 있고, 컨트롤러(280)는 전력 인가 등의 소정의 제어를 수행하고 있다.
노즐(233b)의 내부에 유통하는 O3은, 엑시머 광에 노출됨으로써 여기되어 오존 래디컬 O*가 되고, 활성화된 상태에서 처리실(201) 내의 웨이퍼(200)에 공급된다.
<실시예 3>
또한, 수은 램프나 VUV 램프를 이용하는 다른 실시 형태로서, 도 12, 도 13에 나타내는 노즐(233b)의 내부에 VUV 램프(510)를 설치할 수 있다. 엑시머는 무성(無聲) 방전[유전체(誘電體) 배리어 방전]에 의해 여기된다.
VUV 램프(510)는 석영 등의 유전체로 이루어지는 중공(中空) 원통(圓筒) 형상(2중 구조)의 유전체관(520)과, 유전체관(520)의 외측에 설치되고, 그물코(網目) 형상의 금속으로 이루어지는 외부 전극(530)과, 유전체관(520)의 내측에 설치되고, 금속으로 이루어지는 내부 전극(531)을 갖는다. 또한, 밀폐된 유전체관(520)의 내부(550)에는 방전 가스가 충전(充塡)되어 있고, 예를 들면 Xe2가 봉입(封入)되어 있다. 게다가, 외부 전극(530)과 내부 전극(531)에는 고주파 전원(540)이 접속되고, 양(兩) 전극에 고주파 전력을 인가함으로써, 2 개의 유전체 사이[석영 간극(間隙)]에서 가늘은 철사 형상의 유전체 배리어 방전이 다수 발생한다. 이 방전 플라즈마 내의 고(高)에너지의 전자(電子)는, 반응식 3과 같이, 방전 가스의 원자나 분자와의 충돌로 에너지를 빼앗기기 때문에 순간적으로 소멸한다. 한편, 에너지를 받은 방전 가스는 여기 상태가 되고, 반응식 4와 같이, 중성 원자와 충돌하여 순간적으 로 엑시머 상태 Xe*가 된다.
e+Xe → Xe* 
Xe*+2Xe → Xe2 *+Xe
이 엑시머 상태는 불안정하고, 기저(基底) 상태로 천이(遷移)할 때 에너지를 방출하고, 그 엑시머 특유의 스펙트럼(spectrum)을 발광한다. 반응식 5와 같이, Xe 가스의 엑시머광의 파장은 172nm이다.
Xe2 * → Xe+Xe+hν(172nm)
노즐(233b)의 내부에 유통하는 O3은, 엑시머광에 노출됨으로써 여기되어 오존 래디컬 O*가 되고, 활성화된 상태에서 처리실(201) 내의 웨이퍼(200)에 공급된다.
노즐(233b)의 내부에 O3을 공급할 때는, 동시에 헬륨(He)을 공급해도 좋다.
VUV 램프(510), 외부 전극(530), 내부 전극(531), 고주파 전원(540)은, 각각 제어부인 컨트롤러(280)에 접속되어 있고, 컨트롤러(280)는 전력 인가 등의 소정의 제어를 수행하고 있다.
한편, 외부 전극(530), 내부 전극(531)의 형상은 원통 형상이 아닌, 유전체관의 일부를 덮는 것만으로도 좋다.
한편, 도 14에 나타내는 바와 같이, 산소 래디컬의 기저 상태는, 분자 형상 산소보다 5.16 eV 높은 에너지의 3중항(3重項) 상태O(3P), 더욱 높은 에너지 상태의 1중항 상태O(1D) 및 O(1S)가 있다. 포텐셜 에너지(potential energy)가 크면 산화력은 큰 반면 수명은 짧아진다. O3의 열해리(熱解離)에 의한 포텐셜 에너지에 비해 VUV에 의한 포텐셜 쪽이 크고, 산화력도 보다 커진다. 여기(勵起)하여 활성화시키는 산화제로서는, 예를 들면 O2나 O3을 이용할 수 있고, 여기 에너지에 의해 사용하는 산화제를 적절히 선정한다.
실시예 2 및 실시예 3과 같이, O3을 VUV 여기하여 활성화시킴으로써, 300℃ 이하의 저온에 있어서도 충분히 O3 래디컬을 웨이퍼로 공급하는 것이 가능하게 된다.
실시예 2 또는 실시예 3에 의하면, TEMAH나 TEMAZ라고 하는 유기계 화합물에서는 성막 온도가 200~300℃로 저온이기 때문에, 산화제인 O3이 충분히 활성화되지 않은 상태에서, HfO2나 ZrO2막이 형성되면 원하는 성막 속도를 얻을 수 없을 뿐 아니라, 산화막의 피복성이 저하하거나, 로딩 효과가 발생하는 문제가 있었는데, 산화제의 공급량이나 공급 시간을 증대시키지 않고 이러한 문제를 개선할 수 있다.
또한, 실시예 3에 의하면, 광원의 주위에 O2나 O3 등의 산화제가 흐르는 유로(流路)를 설치하여 2중 구조로 함으로써, 성막에 의한 광원 격벽의 흐림을 방지할 수 있다.
이상, 본 발명의 바람직한 실시예를 설명했는데, 본 발명의 바람직한 실시 형태에 따르면, 적어도 1 매의 기판을 처리실 내에 반입하는 기판 반입 공정과, 상기 기판을 가열하면서 제1 반응 물질과 산소 원자를 포함하는 제2 반응 물질을 상기 처리실 내에 교대로 공급하여 상기 기판 상에 산화막을 형성하는 산화막 형성 공정과, 상기 기판을 상기 처리실 내로부터 반출하는 기판 반출 공정을 구비하고, 상기 산화막 형성 공정에서는, 기판 온도가 상기 제1 반응 물질의 자기 분해 온도 이하이며, 상기 제2 반응 물질에 자외(紫外) 영역의 광을 조사(照射)한 후 상기 처리실 내에 공급하는 것을 특징으로 하는 제1 반도체 디바이스의 제조 방법이 제공된다.
상기의 반도체 디바이스의 제조 방법에 의하면, 산화막을 형성하는 공정에 있어서, 산화제에 상당하는 제2 반응 물질에 자외 영역의 광을 조사한 후 상기 처리실 내에 공급하기 때문에, 제2 반응 물질을 활성화시킨 상태에서 기판에 공급할 수 있다. 그 때문에, 산화막의 형성에 있어서, 산화제에 상당하는 제2 반응 물질의 공급량이나 공급 시간을 증대시키지 않고 산화막의 피복성이나 로딩 효과를 개선할 수 있고, 나아가서는 스루풋이 악화되거나 COO가 악화되는 것을 미연에 회피할 수 있다. 게다가, 산화제에 상당하는 제2 반응 물질에 자외 영역의 광을 조사하여 활성화시키기 때문에, 300℃ 이하의 저온에 있어서도 충분한 양의 활성화한 상태의 제2 반응 물질을 기판에 공급할 수 있다.
바람직하게는, 제1 반도체 디바이스의 제조 방법에 있어서, 상기 산화막을 형성하는 공정에서, 더욱이 상기 제 2 반응 물질로서 오존을 이용할 때에 오존이 분해 가능하도록 자외선을 조사한 후 상기 처리실 내에 공급하는 것을 특징으로 하는 제2 반도체 디바이스의 제조 방법이 제공된다.
본 발명의 다른 바람직한 실시의 형태에 의하면, 기판을 수용하는 처리실과,
상기 기판을 가열하는 가열 수단과,
상기 처리실 내에 제1 반응 물질을 공급하는 제1 가스 공급 수단과,
상기 처리실 내에 산소 원자를 포함하는 제2 반응 물질을 공급하는 제2 가스 공급 수단과,
상기 처리실 내의 분위기를 배기하는 배기 수단과,
적어도 상기 가열 수단, 상기 제1 가스 공급 수단 및 상기 제2 가스 공급 수단을 제어하는 제어부를 갖는 반도체 디바이스 제조 장치로서,
상기 제2 가스 공급 수단은, 상기 제2 반응 물질에 자외 영역의 광을 조사하여 활성화시키는 자외선 발생 기구를 갖고,
상기 제어부는, 상기 제1 가스 공급 수단, 상기 제2 가스 공급 수단, 상기 가열 수단, 상기 배기 수단 및 상기 자외선 발생 기구를 제어하고, 상기 기판을 상기 제1 반응 물질의 자기 분해 온도 이하로 가열하면서, 상기 제1 반응 물질과, 상기 자외선 발생 기구에 의해 활성화된 후의 상기 제2 반응 물질을 상기 처리실 내에 교대로 공급하여 산화막을 형성하는 것을 특징으로 하는 제1 반도체 디바이스 제조 장치가 제공된다.
제1 반도체 디바이스 제조 장치에 의하면, 자외선 발생 기구가 제2 가스 공급 수단에 설치되어 있기 때문에, 제2 반응 물질을 활성화시킨 상태에서 기판에 공급할 수 있다. 그 때문에, 산화막의 형성에 있어서, 산화제에 상당하는 제2 반응 물질의 공급량이나 공급 시간을 증대시키지 않고 산화막의 피복성이나 로딩 효과를 개선할 수 있으며, 나아가서는 스루풋이 악화되거나 COO가 악화되는 것을 미연에 회피할 수 있다.
바람직하게는, 제1 반도체 디바이스 제조 장치에 있어서, 상기 자외선 발생 기구는 진공 자외선을 방사하는 진공 자외선 램프로서, 플라즈마 여기부와, 상기 플라즈마 여기부에 접속되고, 고주파 전력이 인가되는 전극과, 방전 가스가 충전된 방전관을 갖고, 상기 제어부는, 상기 제2 가스 공급 수단 및 상기 진공 자외선 램프를 제어하여, 상기 전극에 고주파 전력을 인가함으로써 상기 제2 반응 물질을 활성화시키도록 제어하는 제2 반도체 디바이스 제조 장치가 제공된다.
바람직하게는, 제1 반도체 디바이스 제조 장치에 있어서, 상기 자외선 발생 기구는 진공 자외선을 방사하는 진공 자외선 램프로서, 유전체로 이루어지고, 2중 구조를 갖는 유전체관과, 상기 유전체관의 외측에 설치되는 제1 전극과, 상기 유전체관의 내측에 설치되는 제2 전극과, 상기 제1 전극 및 상기 제2 전극에 접속되고 고주파 전력을 인가하는 고주파 전원을 갖고, 상기 유전체관의 밀폐된 내부에는 방전 가스가 충전되고, 상기 제어부는, 상기 고주파 전원에 의해 상기 제1 전극 및 제2 전극에 고주파 전력을 인가하고, 상기 방전 가스를 여기하여 상기 진공 자외선을 방사시킴으로써, 상기 제2 반응 물질을 활성화시키도록 제어하는 제3 반도체 디 바이스 제조 장치가 제공된다.
본 발명은, 종형(縱型) 뱃치 장치에 대해서 주로 설명했는데, 이에 국한하지 않고, 매엽(枚葉) 장치, 횡형(橫型) 장치에도 적용 가능하다.
도 1은 본 발명의 바람직한 실시예에 있어서의 Si 기판 표면에의 산화막 원료의 흡착과 오존의 산화를 개략적으로 설명하기 위한 도면.
도 2는 본 발명의 바람직한 실시예에 있어서의 O3 농도의 온도 의존성을 개략적으로 설명하기 위한 도면.
도 3은 본 발명의 바람직한 실시예에서 사용되는 반도체 디바이스 제조 장치의 개략적인 구성을 나타내는 사시도.
도 4는 본 발명의 바람직한 실시예에서 사용되는 반도체 디바이스 제조 장치의 개략적인 구성을 나타내는 측면 투시도.
도 5는 본 발명의 바람직한 실시예에서 사용되는 처리로와 그것에 부수(付隨)하는 부재의 개략 구성도로서, 특히 처리로(處理爐) 부분을 종단면으로 나타내는 도면.
도 6은 본 발명의 실시예 1에서 사용되는 도 5의 A-A선을 따르는 단면도.
도 7은 본 발명의 바람직한 실시예에서 사용되는 처리로와 그 근방의 개략 구성을 나타내는 종단면도.
도 8은 본 발명의 바람직한 실시예에서 사용되는 O3 공급용의 노즐의 개략적인 구성을 나타내는 부분 단면도.
도 9는 도 8의 B-B선을 따르는 단면도.
도 10은 본 발명의 바람직한 실시예에 따른 반도체 디바이스의 제조 방법의 개략적인 공정을 설명하기 위한 도면.
도 11은 본 발명의 실시예 2에서 사용되는 도 5의 A-A선을 따르는 단면도.
도 12는 본 발명의 실시예 3에서 사용되는 O3 공급용의 노즐의 개략적인 구성을 나타내는 부분 단면도.
도 13은 도 12의 C-C선을 따르는 단면도.
도 14는 산소의 핵간(核間) 거리와 포텐셜 에너지(potential energy)의 관계를 나타내는 도면.
<도면 주요 부호의 설명>
101 : 반도체 디바이스 제조 장치 103 : 정면 메인터넌스구(口)
104 : 정면 메인터넌스도어 105 : 카세트 선반
107 : 예비 카세트 선반 110 : 카세트
111 : 광체(筐體) 111a : 정면벽
112 : 카세트 반입 반출구 113 : 프론트 셔터
114 : 카세트 스테이지 115 : 보트 엘리베이터
118 : 카세트 반송 장치 118a : 카세트 엘리베이터
118b : 카세트 반송 기구 125 : 웨이퍼 이재 기구
125a : 웨이퍼 이재 장치
125b : 웨이퍼 이재 장치 엘리베이터
125c : 트위저 128 : 암(arm)
134a : 클린 유닛 147 : 노구 셔터
200 : 웨이퍼 201 : 처리실
202 : 처리로 203 : 반응관
207 : 히터 209 : 매니폴드
217 : 보트 218 : 보트 지지대
219 : 씰 캡 220 : O링
231 : 가스 배기관 232a, 232b : 가스 공급관
233a, 233b : 노즐
234a, 234b : 캐리어 가스 공급관
240 : 액체 매스 플로우 컨트롤러
241a~241c : 매스 플로우 컨트롤러
242 : 기화기 243a~243e : 밸브
246 : 진공 펌프 248a, 248b : 가스 공급공
267 : 보트 회전 기구 280 : 컨트롤러
300 : 히터 302 : 보호관
304 : VUV 방전부 510 : VUV 램프
520 : 유전체관 530 : 외부 전극
531 : 내부 전극 540 : 고주파 전원

Claims (8)

  1. 적어도 1 매의 기판을 처리실 내에 반입하는 기판 반입 공정과,
    상기 기판을 가열하면서 제1 반응 물질과 산소 원자를 포함하는 제2 반응 물질을 상기 처리실 내에 교대로 공급하여 상기 기판 상에 산화막을 형성하는 산화막 형성 공정과,
    상기 기판을 상기 처리실 내로부터 반출하는 기판 반출 공정
    을 구비하고,
    상기 산화막 형성 공정에서는, 기판 온도가 상기 제1 반응 물질의 자기(自己) 분해 온도 이하이며,
    상기 제2 반응 물질에 자외(紫外) 영역의 광을 조사(照射)한 후 상기 처리실 내에 공급하는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  2. 제1항에 있어서, 상기 광은 진공 자외 영역의 광인 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  3. 제1항에 있어서, 상기 제1 반응 물질은, 유기계 화합물인 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  4. 제1항에 있어서, 상기 제2 물질은 오존인 것을 특징으로 하는 반도체 디바이 스의 제조 방법.
  5. 제1항에 있어서, 상기 산화막 형성 공정에서는, 상기 기판 온도를 20~600℃ 사이의 일정한 온도로 설정하는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  6. 기판을 수용하는 처리실과,
    상기 기판을 가열하는 가열 수단과,
    상기 처리실 내에 제1 반응 물질을 공급하는 제1 가스 공급 수단과,
    상기 처리실 내에 산소 원자를 포함하는 제2 반응 물질을 공급하는 제2 가스 공급 수단과,
    상기 처리실 내의 분위기를 배기하는 배기 수단과,
    적어도 상기 가열 수단, 상기 제1 가스 공급 수단 및 상기 제2 가스 공급 수단을 제어하는 제어부를 갖는 기판 처리 장치로서,
    상기 제2 가스 공급 수단은, 상기 제2 반응 물질에 자외 영역의 광을 조사하여 활성화시키는 자외선 발생 기구를 갖고,
    상기 제어부는, 상기 제1 가스 공급 수단, 상기 제2 가스 공급 수단, 상기 가열 수단, 상기 배기 수단 및 상기 자외선 발생 기구를 제어하고, 상기 기판을 상기 제1 반응 물질의 자기 분해 온도 이하로 가열하면서, 상기 제1 반응 물질과, 상기 자외선 발생 기구에 의해 활성화된 후의 상기 제2 반응 물질을 상기 처리실 내에 교대로 공급하여 산화막을 형성하는 것을 특징으로 하는 기판 처리 장치.
  7. 제6항에 있어서,
    상기 자외선 발생 기구는 진공 자외선을 방사(放射)하는 진공 자외선 램프로서,
    플라즈마 여기부(勵起部)와,
    상기 플라즈마 여기부에 접속되어, 고주파 전력이 인가되는 전극과,
    방전 가스가 충전된 방전관
    을 갖고,
    상기 제어부는, 상기 제2 가스 공급 수단 및 상기 진공 자외선 램프를 제어하여, 상기 전극에 고주파 전력을 인가함으로써 오존을 활성화시키도록 제어하는 것을 특징으로 하는 기판 처리 장치.
  8. 제6항에 있어서,
    상기 자외선 발생 기구는 진공 자외선을 방사하는 진공 자외선 램프로서,
    유전체로 이루어지고, 2중 구조를 갖는 유전체관과,
    상기 유전체관의 외측에 설치되는 제1 전극과,
    상기 유전체관의 내측에 설치되는 제2 전극과,
    상기 제1 전극 및 상기 제2 전극에 접속되어 고주파 전력을 인가하는 고주파 전원
    을 가지고,
    상기 유전체관의 밀폐된 내부에는 방전 가스가 충전되고,
    상기 제어부는, 상기 고주파 전원에 의해 상기 제1 전극 및 제2 전극에 고주파 전력을 인가하고, 상기 방전 가스를 여기하여 상기 진공 자외선을 방사시킴으로써, 상기 제2 반응 물질을 활성화시키도록 제어하는 것을 특징으로 하는 기판 처리 장치.
KR1020090094797A 2008-10-07 2009-10-06 반도체 디바이스의 제조 방법 KR101167508B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2008-260665 2008-10-07
JP2008260665 2008-10-07
JP2009179630A JP2010114420A (ja) 2008-10-07 2009-07-31 半導体デバイスの製造方法
JPJP-P-2009-179630 2009-07-31

Publications (2)

Publication Number Publication Date
KR20100039263A KR20100039263A (ko) 2010-04-15
KR101167508B1 true KR101167508B1 (ko) 2012-07-23

Family

ID=42076135

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090094797A KR101167508B1 (ko) 2008-10-07 2009-10-06 반도체 디바이스의 제조 방법

Country Status (3)

Country Link
US (1) US20100087069A1 (ko)
JP (1) JP2010114420A (ko)
KR (1) KR101167508B1 (ko)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070292974A1 (en) * 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
JP5616591B2 (ja) 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR101295790B1 (ko) * 2010-12-03 2013-08-09 국제엘렉트릭코리아 주식회사 종형열처리 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008109091A (ja) * 2006-09-28 2008-05-08 Tokyo Electron Ltd シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR100957879B1 (ko) * 2005-06-14 2010-05-13 도쿄엘렉트론가부시키가이샤 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
EP1668682A4 (en) * 2003-09-30 2006-11-15 Aviza Tech Inc GROWTH OF DIELECTRICS TO CONSTANT HIGH K BY DEPOSITION OF ATOMIC LAYERS
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
JP4502189B2 (ja) * 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100957879B1 (ko) * 2005-06-14 2010-05-13 도쿄엘렉트론가부시키가이샤 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
JP2008109091A (ja) * 2006-09-28 2008-05-08 Tokyo Electron Ltd シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム

Also Published As

Publication number Publication date
KR20100039263A (ko) 2010-04-15
JP2010114420A (ja) 2010-05-20
US20100087069A1 (en) 2010-04-08

Similar Documents

Publication Publication Date Title
KR101167508B1 (ko) 반도체 디바이스의 제조 방법
TWI420597B (zh) 用以形成掺雜有金屬之含矽絕緣膜的膜形成方法與膜形成設備
US7923378B2 (en) Film formation method and apparatus for forming silicon-containing insulating film
JP5462885B2 (ja) 半導体装置の製造方法および基板処理装置
US7906168B2 (en) Film formation method and apparatus for forming silicon oxide film
US8093159B2 (en) Manufacturing method of semiconductor device, and semiconductor device
US8297224B2 (en) Substrate processing apparatus
WO2008010546A1 (fr) Procédé de fabrication de dispositif semiconducteur et appareil de traitement de substrat
JP2008202107A (ja) 基板処理装置
JP2007194582A (ja) 高誘電体薄膜の改質方法及び半導体装置
WO2007083651A1 (ja) 半導体装置の製造方法
US20090078201A1 (en) Vertical plasma processing apparatus for semiconductor process
JP5568212B2 (ja) 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
WO2011093203A1 (ja) 半導体装置の製造方法、基板処理装置及び半導体装置
WO2004027849A1 (ja) 半導体装置の製造方法および基板処理装置
KR20090009744A (ko) 반도체 디바이스의 제조 방법
JP5306691B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2005197561A (ja) 基板処理装置
JP5421812B2 (ja) 半導体基板の成膜装置及び方法
JP2005057133A (ja) 半導体デバイスの製造方法及び基板処理装置
JP2012084602A (ja) 半導体装置の製造方法及び基板処理装置システム
JP2011159906A (ja) 半導体装置の製造方法
JP5557896B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012114350A (ja) 基板処理装置
JP2011155033A (ja) 半導体デバイスの製造方法および半導体デバイス

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190627

Year of fee payment: 8