JP2001345263A - 露光装置及び露光方法、並びにデバイス製造方法 - Google Patents

露光装置及び露光方法、並びにデバイス製造方法

Info

Publication number
JP2001345263A
JP2001345263A JP2001089089A JP2001089089A JP2001345263A JP 2001345263 A JP2001345263 A JP 2001345263A JP 2001089089 A JP2001089089 A JP 2001089089A JP 2001089089 A JP2001089089 A JP 2001089089A JP 2001345263 A JP2001345263 A JP 2001345263A
Authority
JP
Japan
Prior art keywords
gas
chamber
exposure apparatus
purity
energy beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001089089A
Other languages
English (en)
Inventor
Yutaka Hayashi
豊 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2001089089A priority Critical patent/JP2001345263A/ja
Priority to TW090107465A priority patent/TW490734B/zh
Priority to KR1020010016780A priority patent/KR20010095138A/ko
Priority to US09/820,932 priority patent/US6633364B2/en
Publication of JP2001345263A publication Critical patent/JP2001345263A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants

Landscapes

  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 【課題】 露光光の透過率を維持しつつ、低吸収性ガス
の利用効率を向上して無駄な消費を抑制する。 【解決手段】 所定の純度の低吸収性ガスを照明光学系
のハウジング2及び投影光学系PLのハウジング内に供
給するとともに、これらの部屋(2、PL)から排気さ
れるガスを回収してマスクステージを収納するマスク室
15と基板ステージを収納する基板室40に供給する、
ガス供給系120を備えている。4つの部屋(2、P
L、15、40)は、いずれも光源と基板との間の露光
光の光路上に位置する。従って、各部屋に要求される低
吸収性ガスの純度を十分に満足して露光光の透過率を維
持しつつ、部屋(2、PL)内を流通した低吸収性ガス
を部屋(15、40)内の置換用ガスとして利用するの
で、低吸収性ガスの利用効率を向上することができ、こ
れにより低吸収性ガスの無駄な消費を抑制することがで
きる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、露光装置及び露光
方法、並びにデバイス製造方法に係り、更に詳しくは、
半導体素子等を製造する際にリソグラフィ工程で用いら
れる露光装置及び露光方法、並びに前記露光装置を用い
るデバイス製造方法に関する。
【0002】
【従来の技術】従来より、半導体素子等の製造における
リソグラフィ工程では、種々の露光装置が用いられてい
る。近年では、形成すべきパターンを4〜5倍程度に比
例拡大して形成したマスク(レチクルとも呼ばれる)の
パターンを、投影光学系を介してウエハ等の被露光基板
上に縮小転写するステップ・アンド・リピート方式の縮
小投影露光装置(いわゆるステッパ)や、このステッパ
に改良を加えたステップ・アンド・スキャン方式の走査
型投影露光装置(いわゆるスキャニング・ステッパ)等
の投影露光装置が、主流となっている。
【0003】これらの露光装置では、集積回路の微細化
に対応して高解像度を実現するため、その露光波長を、
より短波長側にシフトしてきた。最近では、露光波長は
ArFエキシマレーザの193nmが実用化段階となっ
ているが、より短波長の波長157nmのF2レーザ光
や、波長126nmのAr2レーザ光を使用する露光装
置も、開発されている。
【0004】ArFエキシマレーザ光、F2レーザ光あ
るいはAr2レーザ光などの波長200nm〜120n
mの帯域に属する真空紫外と呼ばれる波長域の光束は、
光学ガラスの透過率が悪く、使用可能な硝材は、ホタル
石やフッ化マグネシウム、フッ化リチウム等のフッ化物
結晶に限定される。また、真空紫外光は、光路中に存在
する酸素や水蒸気,炭化水素ガス等(以下、「吸収性ガ
ス」と称する)による吸収も極めて大きく、また、光学
素子表面に有機系の汚染物質や水等が付着した場合にそ
れらの汚染物質による吸収も大きい。そのため、露光光
が通る光路上の空間中の上記有機系の汚染物質、水、及
び吸収性ガス等の不純物の濃度を数ppm以下の濃度に
まで下げるべく、その光路上の空間中の気体を、吸収の
少ない、窒素や、へリウム等の不活性ガス(以下、「低
吸収性ガス」と称する)で置換する必要がある。
【0005】ArFエキシマレーザ露光装置やF2レー
ザ露光装置などにおける設計上のコンセプトとして、光
源からウエハに至るまでの露光光の光路から吸収性ガス
を極力排除するため、照明光学系、投影光学系を構成す
る光学素子と同様に、レチクルステージやウエハステー
ジを筐体で覆い、それぞれの筐体内を独立した部屋とし
て構成し、各部屋の内部の気体を高純度の低吸収性ガ
ス、例えば窒素あるいはヘリウムなどで置換する考えが
ある。
【0006】かかる場合に、これまでは、高純度の低吸
収性ガスを各部屋に流し、その内部を流通して排気され
たガス(少なくともガス中の窒素等の純度が不純物(吸
収性ガス、有機物など)の影響により低下したガス)は
そのまま外部に排気するか、少なくとも一部を保存用と
して回収するかのいずれかの考えが採用されていた。
【0007】また、全ての部屋に並行的に高純度の低吸
収性ガスを供給する考えが採用されていた。
【0008】
【発明が解決しようとする課題】しかしながら、上述し
たように、各部屋内を流通して排気された純度の低下し
たガスを外部に排気する、あるいはその一部を保存用と
して回収するという方法では、大部分のガスは再利用さ
れずに捨てられるか回収されて保存されるだけである。
また、複数の部屋に並行的に高純度の低吸収性ガス等を
供給する場合には、高純度の低吸収性ガスの使用量が大
変多くなる。
【0009】このような理由により、上述したコンセプ
トでは、高価な窒素ガスや更に高価なヘリウムガスなど
を大量に消費しなければならなくなり、コスト面での負
担が大きく、これが半導体素子等の製造コストを上昇さ
せる要因となってしまう。
【0010】この一方、単に低吸収性ガスの使用量、す
なわち各部屋に送り込むガスの量を減らしたのでは、光
路中の不純物の濃度が上昇して結果的に露光光の透過率
低下を招き、これが露光不良の要因となる。
【0011】本発明は、かかる事情の下になされたもの
で、その第1の目的は、露光光の透過率を維持しつつ、
低吸収性ガスの利用効率を向上して無駄な消費を抑制す
ることが可能な露光装置及び露光方法を提供することに
ある。
【0012】また、本発明の第2の目的は、デバイスの
生産性を向上することができるデバイス製造方法を提供
することにある。
【0013】
【課題を解決するための手段】請求項1に記載の露光装
置は、エネルギビーム源からのエネルギビーム(EL)
よりマスク(R)を照明し、該マスクのパターンを基板
(W)に転写する露光装置であって、前記エネルギビー
ム源と前記基板との間の前記エネルギビームの光路上に
位置する少なくとも一つの閉空間と;前記エネルギビー
ムが透過する特性を有する特定ガスを、前記閉空間のう
ちの任意の少なくとも一つである第1室内に供給し、前
記第1室から排気されるガスを、前記閉空間のうちの任
意の少なくとも一つである第2室内に供給するガス供給
系(110又は120)と;を備える露光装置である。
【0014】本明細書において、閉空間とは、外部に対
して気密状態とされた空間の他、厳密な意味で気密状態
とされてはいない閉じた空間をも含む概念である。
【0015】これによれば、ガス供給系により、所定の
純度の特定ガスが第1室内に供給されるとともに、該第
1室から排気されるガスが第2室に供給される。この場
合、第1室内を流通して該第1室内における脱ガス等に
より純度が幾分低下した特定ガスが第2室に置換ガスと
して供給される。このため、例えば、第1室内に高純度
の特定ガスを供給し、少なくとも第2室として内部のエ
ネルギビームの光路が比較的短く、光路中に存在する空
気その他の不純物等によるエネルギビームの吸収による
透過率の低下の影響が殆ど問題とならない部屋を設定す
ることにより、第1室及び第2室に要求される特定ガス
の純度を十分に満足してエネルギビーム(露光光)の透
過率を維持することができる。また、第1室内を流通し
た特定ガスを外部に排気することなく、第2室の置換用
ガスとして利用するので、特定ガスの利用効率を向上す
ることができ、これにより特定ガス(低吸収性ガス)の
無駄な消費を抑制することができる。
【0016】この場合において、請求項2に記載の露光
装置の如く、前記第1室と前記第2室とは相互に異なる
こととすることができる。
【0017】この場合において、請求項3に記載の露光
装置の如く、前記エネルギビーム源と前記基板との間に
配置された可動の光学部材と;前記光学部材を駆動する
駆動系とを更に備える場合には、前記エネルギビーム源
と前記基板との間に配置された第1光学素子と第2光学
素子との間に形成される閉空間が前記第1室を構成し、
前記光学部材及び前記駆動系の少なくとも一部を収容す
る閉空間が前記第2室を構成することとすることができ
る。ここで、第1室は光路上に存在する光学素子相互間
に形成されるので、その内部を特定ガスでパージする主
目的は、不純物を極力排除することである。このため、
第1室内に供給される特定ガスは高純度であることが要
求される。これに対し、可動の光学部材及び駆動系の少
なくとも一部を収容する第2室内を特定ガスでパージす
る主目的は、可動部から発生した塵(パーティクル)等
の不純物を外部に排出することである。このため、第2
室内に供給される特定ガスは、第1室に比べて純度の低
い特定ガスであっても良い。従って、ガス供給系によ
り、第1室内に供給されるとともに、該第1室から排気
されるガスが光学部材及び駆動系の少なくとも一部を収
容する第2室内に供給されることにより、各室に要求さ
れる特定ガスの純度を十分に満足しつつ、第1及び第2
室内のエネルギビーム(露光光)の透過率を維持するこ
とができる。
【0018】上記請求項2に記載の露光装置において、
請求項4に記載の露光装置の如く、前記エネルギビーム
源からの前記エネルギビームにより前記マスクを照明す
る照明光学系(IOP)と;前記マスクを介した前記エ
ネルギビームを前記基板に投射する投影光学系(PL)
と:を更に備える場合に、前記閉空間として、前記照明
光学系のハウジング、前記マスクを保持するマスクステ
ージ(14)を収容するマスク室(15)、前記投影光
学系のハウジング、及び前記基板を保持する基板ステー
ジを収容する基板室(40)とが設けられ、前記照明光
学系のハウジング、前記投影光学系のハウジングの少な
くとも一方が前記第1室を構成し、前記マスク室と前記
基板室との少なくとも一方が前記第2室を構成すること
とすることができる。ここで、照明光学系のハウジン
グ、投影光学系のハウジング(鏡筒)、マスク室、及び
基板室それぞれの内部ガスを特定ガスに置換する場合
に、それぞれの部屋内で許容できるガス中の不純物の濃
度、換言すればそれぞれの部屋の置換用ガスとして要求
される特定ガスの純度は一律ではない。すなわち、照明
光の光路が長く、レンズ等の光学素子が多数含まれる照
明光学系や投影光学系では、その内部の光路上から不純
物を排除するため、その内部を非常に高純度の特定ガス
で満たさなければならないのに対し、マスク室や基板室
内では、多少の不純物が存在してもその光路が短いた
め、その影響はそれほど大きくない。従って、ガス供給
系により所定純度の特定ガスが照明光学系のハウジング
及び投影光学系のハウジングの少なくとも一方である第
1室内に供給され、該第1室内を流通して該部屋内にお
ける脱ガス等により純度が幾分低下した特定ガスがマス
ク室と基板室との少なくとも一方である第2室に置換ガ
スとして供給されることにより、各室に要求される特定
ガスの純度を十分に満足しつつ、第1及び第2室内のエ
ネルギビーム(露光光)の透過率を維持することができ
る。
【0019】上記請求項1〜4に記載の各露光装置にお
いて、請求項5に記載の露光装置の如く、前記ガス供給
系は、前記第1室から排気されるガス中の不純物の濃度
を監視するとともに、前記不純物の濃度が所定値以上で
ある場合には前記ガスを外部に排気するガス純度監視装
置(21)を更に備えることとすることができる。かか
る場合には、ガス純度監視装置により、第1室から排気
されるガス中の不純物の濃度が監視され、不純物の濃度
が所定値以上である場合、ガスは外部に排気されるの
で、不純物の濃度があるレベル以上高いガスが第2室に
供給されることがない。
【0020】この場合において、請求項6に記載に露光
装置の如く、前記ガス供給系は、前記第1室から排気さ
れるガスを純化して該ガス中の前記特定ガスの純度を上
げるガス精製装置(23)を更に備えることとすること
ができる。かかる場合には、ガス精製装置により、第1
室から排気されるガスが純化され、該ガス中の前記特定
ガスの純度が上げられる(元の純度に近づけられる)。
また、この場合、ガス純度監視装置の存在によりガス生
成装置の寿命が長く維持される。
【0021】この場合において、請求項7に記載の露光
装置の如く、前記ガス供給系は、前記第1室から排気さ
れるガスを分流してその一部を前記ガス精製装置に供給
し、該ガス精製装置を通過した前記一部のガスと残りの
ガスとを合流させるガス供給経路(22、28、29、
51、52A、52B)を更に備えることとすることが
できる。かかる場合には、ガス供給経路により、第1室
から排気されるガスが分流され、その一部がガス精製装
置に供給され、該ガス精製装置を通過することにより純
度が高められたその一部のガスと残りのガスとが合流さ
れた後、第2室に供給される。このため、第2室内に供
給される特定ガスの純度を不要に低下させることなく、
第1室から排気される全てのガスがガス精製装置に供給
される場合に比べて、ガス精製装置の寿命を延ばすこと
ができる。
【0022】この場合において、請求項8に記載の露光
装置の如く、前記ガス供給系は、前記ガス供給経路を経
由して合流された前記ガスが供給され、その供給された
ガス中の不純物を取り除くケミカルフィルタを有し、前
記ガスを所定温度に温度調節する温調装置(24)を更
に備えることとすることができる。かかる場合には、ガ
ス精製装置により純度が上げられ、残りのガスとが合流
により僅かに純度が低下したガスが温調装置に供給さ
れ、その供給されたガス中の不純物がケミカルフィルタ
により取り除かれるとともに、温調装置により所定温度
に温度調節されて第2室内に供給される。従って、第2
室内には、化学的な不純物が取り除かれ温度調節がなさ
れた比較的純度の高い特定ガスが供給される。従って、
第2室内におけるエネルギビーム(露光光)透過率の低
下を一層効果的に抑制することができる。
【0023】上記請求項6に記載の露光装置では、請求
項9に記載の露光装置の如く、前記がス供給系は、前記
ガス精製装置を経由したガス中の不純物を取り除くケミ
カルフィルタを有し、前記ガスを所定温度に温度調節す
る温調装置を更に備えることとすることができる。
【0024】上記請求項1〜4に記載に各露光装置にお
いて、請求項10に記載の露光装置の如く、前記ガス供
給系は、前記第1室から排気されるガスを純化して該ガ
ス中の前記特定ガスの純度を上げるガス精製装置を更に
備えることとることとすることができる。
【0025】この場合において、請求項11に記載の露
光装置の如く、前記ガス供給系は、前記第1室から排気
されるガスを分流してその一部を前記ガス精製装置に供
給し、該ガス精製装置を通過した前記一部のガスと残り
のガスとを合流させるガス供給経路を更に備えることと
することができる。
【0026】この場合において、請求項12に記載の露
光装置の如く、前記ガス供給系は、前記ガス供給経路を
経由して合流された前記ガスが供給され、その供給され
たガス中の不純物を取り除くケミカルフィルタを有し、
前記ガスを所定温度に温度調節する温調装置を更に備え
ることとすることができる。
【0027】上記請求項1〜4に記載の各露光装置にお
いて、請求項13に記載の露光装置の如く、前記ガス供
給系は、前記第1室から排気されるガス中の不純物を取
り除くケミカルフィルタを有し、前記ガスを所定温度に
温度調節する温調装置を更に備えることとすることがで
きる。
【0028】上記請求項1〜13に記載の各露光装置に
おいて、請求項14に記載の露光装置の如く、前記第1
室及び前記第2室は、外部に対して気密状態とされた気
密室であることが望ましい。かかる場合には、各室に対
して外部から不純物を含む気体が混入するおそれがな
い。但し、第1室及び第2室は必ずしも気密室である必
要はない。
【0029】上記請求項1に記載の露光装置において、
請求項15に記載の露光装置の如く、前記第1室と前記
第2室とは同一であることとすることができる。
【0030】上記請求項1に記載の露光装置において、
請求項16に記載の露光装置の如く、前記ガス供給系
は、前記第1室に対して、前記特定ガスを、その純度を
所定の範囲内に維持しかつ外部から補充しつつ供給する
とともに、前記第1室から排気されるガスを回収して前
記第2室に供給するガス循環系(120)であることと
することができる。かかる場合には、ガス循環系が、特
定ガスを、その純度を所定の範囲内に維持しかつ外部か
ら補充しつつ、エネルギビーム源と基板との間のエネル
ギビームの光路上に位置する第1室に供給し、該第1室
から排気されるガスを回収してエネルギビーム源と前記
基板との間に形成される前記第2室に供給する。
【0031】この場合において、請求項17に記載の露
光装置の如く、前記第1室と前記第2室とは相互に異な
ってもいても良いし、請求項18に記載の露光装置の如
く、前記第1室と前記第2室とは同一であっても良い。
このため、特定ガスの大部分が同一若しくは異なる閉空
間内で再利用されることとなり、特定ガス(低吸収性ガ
ス)の利用効率を向上して無駄な消費を抑制することが
できる。また、ガス循環系により、第1室に供給される
特定ガスの純度は所定の範囲内に維持されているので、
第1室内でのエネルギビーム(露光光)透過率の低下も
防止することができる。
【0032】上記請求項16〜18に記載の各露光装置
において、第1室及び第2室の内、少なくとも第1室
は、エネルギビームの光路上に存在する光学素子(例え
ばレンズ、ミラー等)の相互間の空間等であっても良い
が、請求項19に記載の露光装置の如く、前記エネルギ
ビーム源からの前記エネルギビームにより前記マスクを
照明する照明光学系(IOP)と;前記マスクを介した
前記エネルギビームを前記基板に投射する投影光学系
(PL)と:を更に備える場合には、前記閉空間とし
て、前記照明光学系のハウジング、前記マスクを保持す
るマスクステージを収容するマスク室、前記投影光学系
のハウジング、及び前記基板を保持する基板ステージを
収容する基板室とが設けられ、前記第1室及び前記第2
室は、前記マスク室、前記基板室、前記照明光学系のハ
ウジング、及び前記投影光学系のハウジングのいずれか
によって形成されていることとすることができる。
【0033】上記請求項16〜19に記載の各露光装置
において、請求項20に記載の露光装置の如く、前記ガ
ス循環系は、前記第2室から排気されるガスの戻り量に
応じて、前記特定ガスを外部から補充しつつその純度を
所定の範囲内に維持して前記第1室に供給するガス循環
装置を備えることとすることができる。
【0034】上記請求項16〜20に記載の各露光装置
において、請求項21に記載の露光装置の如く、前記ガ
ス循環系は、前記第1室内のガスを前記特定ガスに置換
する初期ガス置換作業時に、前記第1室から排気される
ガス中の不純物が所定の濃度未満となるまでの間は、前
記ガスを回収することなく外部に排気することとするこ
とができる。かかる場合には、ガス循環系は、第1室内
のガス(酸素等の吸収性ガスを多く含むガス)を特定ガ
スに置換する初期ガス置換作業時に、第1室から排気さ
れるガス中の不純物が所定の濃度未満となるまでの間
は、ガスを回収することなく外部に排気する。このた
め、第1室から排気されるガス中の不純物(吸収性ガス
等)の濃度が高いときには、ガス循環系によりガスが外
部に排気されるので、第2室内に供給される特定ガスの
純度を極端に悪化させることがなく、第2室内でのエネ
ルギビーム(露光光)の透過率の低下を抑制することが
できる。
【0035】この場合において、請求項22に記載の露
光装置の如く、前記ガス循環系は、前記初期ガス置換作
業時に、当該初期ガス置換作業の開始から所定時間の経
過により前記ガス中の不純物が所定の濃度未満となった
と判断する判断装置を含むこととすることができる。あ
るいは、請求項23に記載の露光装置の如く、前記ガス
循環系が、前記ガス中の不純物の濃度及び前記特定ガス
の濃度の少なくとも一方を検出する濃度検出器を有する
場合には、前記初期ガス置換作業時に、前記濃度検出器
の出力に基づいて前記ガス中の不純物が所定の濃度未満
となったと判断する判断装置を含むこととすることがで
きる。
【0036】上記請求項16〜19に記載の各露光装置
において、請求項24に記載の露光装置の如く、前記ガ
ス循環系は、前記第1室から排気されるガス中の不純物
の濃度を監視するとともに、前記不純物の濃度が所定値
以上である場合には前記ガスを外部に排気するガス純度
監視装置を更に備えることとすることができる。かかる
場合には、第1室から回収されるガス中の不純物の濃度
がガス純度監視装置により監視され、不純物の濃度が所
定値以上である場合にはガスが外部に排気される一方、
不純物の濃度が所定値未満の場合には第2室に再び供給
される。従って、第1室から排気されるガス中の不純物
の濃度が低いときには、そのガスが第2室内の置換ガス
として再利用されるので、特定ガス(低吸収性ガス)の
利用効率を向上して無駄な消費を抑制することができ
る。一方、第1室から排気されるガス中の不純物の濃度
が高いときには、そのガスは外部に排気されるので、第
2室内に供給される特定ガスの純度が不要に低下するこ
とはなく、第2室でのエネルギビーム(露光光)の透過
率の低下も防止することができる。
【0037】この場合において、請求項25に記載の露
光装置の如く、前記ガス循環系は、前記第1室から回収
されるガスを純化して該ガス中の前記特定ガスの純度を
上げるガス精製装置を更に備えることとすることができ
る。かかる場合には、第1室から排気されるガスが、ガ
ス精製装置により回収されて純化され、該ガス中の特定
ガスの純度が上げられる(元の純度に近づけられる)。
【0038】この場合において、請求項26に記載の露
光装置の如く、前記ガス循環系は、前記ガス精製装置を
経由したガス中の不純物を取り除くケミカルフィルタを
有し、前記ガスを所定温度に温度調節する温調装置を更
に備えることとすることができる。あるいは、請求項2
7に記載の露光装置の如く、前記ガス循環系は、前記第
1室から回収されるガスを分流してその一部を前記ガス
精製装置に供給し、該ガス精製装置を通過した前記一部
のガスと残りのガスとを合流させるガス供給経路を更に
備えることとすることができる。かかる場合には、ガス
供給経路により、第1室から回収されるガスが分流さ
れ、その一部がガス精製装置に供給され、該ガス精製装
置を通過することにより純度が高められたその一部のガ
スと残りのガスとが合流された後第2室内に供給され
る。このため、第2室内に供給される特定ガスの純度を
不要に低下させることなく、第1室から回収される全て
のガスがガス精製装置に供給される場合に比べて、ガス
精製装置の寿命を延ばすことができる。
【0039】この場合において、請求項28に記載の露
光装置の如く、前記ガス循環系は、前記ガス供給経路を
経由して合流された前記ガスが供給され、その供給され
たガス中の不純物を取り除くケミカルフィルタを有し、
前記ガスを所定温度に温度調節する温調装置を更に備え
ることとすることができる。かかる場合には、ガス精製
装置により純度が上げられ、残りのガスとが合流により
僅かに純度が低下したガスが温調装置に供給され、その
供給されたガス中の不純物がケミカルフィルタにより取
り除かれるとともに、温調装置により所定温度に温度調
節されて第2室内に供給される。従って、第2室内に
は、化学的な不純物が取り除かれ温度調節がなされた比
較的純度の高い特定ガスが供給される。
【0040】上記請求項16〜19に記載の各露光装置
において、請求項29に記載の露光装置の如く、前記ガ
ス循環系は、前記第1室から回収されるガスを純化して
該ガス中の前記特定ガスの純度を上げるガス精製装置を
更に備えることとすることができる。
【0041】この場合において、請求項30に記載の露
光装置の如く、前記ガス循環系は、前記第1室から回収
されるガスを分流してその一部を前記ガス精製装置に供
給し、該ガス精製装置を通過した前記一部のガスと残り
のガスとを合流させるガス供給経路を更に備えることと
することができる。
【0042】この場合において、請求項31に記載の露
光装置の如く、前記ガス循環系は、前記ガス供給経路を
経由して合流された前記ガスが供給され、その供給され
たガス中の不純物を取り除くケミカルフィルタを有し、
前記ガスを所定温度に温度調節する温調装置を更に備え
ることとすることができる。
【0043】上記請求項16〜19に記載の各露光装置
において、請求項32に記載の露光装置の如く、前記ガ
ス循環系は、前記第1室から回収されるガス中の不純物
を取り除くケミカルフィルタを有し、前記ガスを所定温
度に温度調節する温調装置を更に備えることとすること
ができる。
【0044】上記請求項16〜32に記載の各露光装置
において、請求項33に記載の露光装置の如く、前記第
1室及び前記第2室は、外部に対して気密状態とされた
気密室であることとすることができる。
【0045】請求項34に記載のデバイス製造方法は、
リソグラフィ工程を含むデバイス製造方法であって、前
記リソグラフィ工程では、請求項1〜33のいずれか一
項に記載の露光装置を用いて露光を行うことを特徴とす
る。
【0046】これによれば、リソグラフィ工程におい
て、請求項1〜33に記載の各露光装置を用いて露光を
行うことにより、エネルギビーム(露光光)の透過率を
維持して高精度な露光量制御を長期間維持することがで
きるとともに、特定ガス(低吸収性ガス)の使用量を低
減できる。従って、デバイスの歩留まりを低下させるこ
となく、ランニングコストを低減できるので、結果的に
デバイスの生産性を向上させることができる。
【0047】請求項35に記載の露光方法は、エネルギ
ビーム源からのエネルギビームによりマスクを照明し、
該マスクのパターンを基板に転写する露光方法であっ
て、前記エネルギビーム源と前記基板との間の前記エネ
ルギビームの光路上に位置する少なくとも一つの閉空間
のうちの任意の少なくとも一つである第1室に、前記エ
ネルギビームが透過する特性を有する特定ガスを供給
し、前記第1室から内部ガスを排気し、前記第1室から
排気されるガスを前記閉空間のうちの任意の少なくとも
一つである第2室内に供給することを特徴とする露光方
法である。
【0048】これによれば、所定の純度の特定ガスが第
1室内に供給されるとともに、該第1室から排気される
ガスが第2室内に供給される。この場合、第1室内を流
通して該第1室内における脱ガス等により純度が幾分低
下した特定ガスが回収されて第2室に置換ガスとして供
給される。このため、例えば、第1室内に高純度の特定
ガスを供給し、少なくとも第2室として内部のエネルギ
ビームの光路が比較的短く、光路中に存在する空気その
他の不純物等によるエネルギビームの吸収による透過率
の低下の影響が殆ど問題とならない部屋を設定すること
により、第1室及び第2室に要求される特定ガスの純度
を十分に満足してエネルギビーム(露光光)の透過率を
維持することができる。また、第1室内を流通した特定
ガスを外部に排気することなく、第2室の置換用ガスと
して利用するので、特定ガスの利用効率を向上すること
ができ、これにより特定ガス(低吸収性ガス)の無駄な
消費を抑制することができる。
【0049】この場合において、請求項36に記載の露
光方法の如く、前記第1室と前記第2室とは相互に異な
ることとすることができる。
【0050】この場合において、請求項37に記載の露
光方法の如く、前記第1室は、前記エネルギビーム源と
前記基板との間に配置された第1光学素子と第2光学素
子との間に形成される閉空間であり、前記第2室は、前
記エネルギビーム源と前記基板との間に配置された可動
の光学部材及び該可動の光学部材を駆動する駆動系の少
なくとも一部を収容する閉空間であることとすることが
できる。
【0051】上記請求項36に記載の露光方法におい
て、請求項38に記載の露光方法の如く、前記第1室
は、前記エネルギビーム源からの前記エネルギビームに
より前記マスクを照明する照明光学系、前記マスクを介
した前記エネルギビームを前記基板に投射する投影光学
系の少なくとも一方を収容するハウジングであり、前記
第2室は、前記マスクを保持するマスクステージを収容
するマスク室、前記基板を保持する基板ステージを収容
する基板室の少なくとも一方であることとすることがで
きる。
【0052】上記請求項35〜38に記載の各露光方法
において、請求項39に記載の露光方法の如く、前記第
1室から排気されるガス中の不純物の濃度を監視すると
ともに、前記不純物の濃度が所定値以上である場合には
前記ガスを外部に排気することとすることができる。
【0053】上記請求項35〜39に記載の各露光方法
において、請求項40に記載の露光方法の如く、前記第
1室から排気されるガスを純化して該ガス中の前記特定
ガスの純度を上げることとすることができる。
【0054】上記請求項35〜38に記載の各露光方法
において、請求項41に記載の露光方法の如く、前記第
1室に対して、前記特定ガスを、その純度を所定の範囲
内に維持し、かつ外部から補充しつつ供給するととも
に、前記第1室から排気されるガスを回収して前記第2
室に供給することとすることができる。
【0055】
【発明の実施の形態】《第1の実施形態》以下、本発明
の第1の実施形態を図1〜図3に基づいて説明する。図
1には、第1の実施形態の露光装置の構成が概略的に示
されている。この露光装置100は、エネルギビームと
しての真空紫外域の照明光ELをマスクとしてのレチク
ルRに照射して、該レチクルRと基板としてのウエハW
とを所定の走査方向(ここでは、X軸方向とする)に相
対走査してレチクルRのパターンを投影光学系PLを介
してウエハW上に転写するステップ・アンド・スキャン
方式の投影露光装置、すなわちいわゆるスキャニング・
ステッパである。
【0056】この露光装置100は、エネルギビーム源
としての光源1及び照明光学系IOPを含み、露光用の
照明光(以下、「露光光」と呼ぶ)ELによりレチクル
Rを照明する照明系、レチクルRを保持するマスクステ
ージとしてのレチクルステージ14、レチクルRから射
出される露光光ELをウエハW上に投射する投影光学系
PL、ウエハWを保持する基板ステージとしてのウエハ
ステージWST等を備えている。
【0057】前記光源1としては、ここでは、波長約1
20nm〜約180nmの真空紫外域に属する光を発す
る光源、例えば出力波長157nmのフッ素レーザ(F
2レーザ)、出力波長146nmのクリプトンダイマー
レーザ(Kr2レーザ)、出力波長126nmのアルゴ
ンダイマーレーザ(Ar2レーザ)などが用いられてい
る。なお、光源として出力波長193nmのArFエキ
シマレーザ等を用いても構わない。
【0058】前記照明光学系IOPは、ハウジングとし
ての照明系ハウジング2と、その内部に所定の位置関係
で配置された折り曲げミラー3、フライアイレンズ等の
オプチカルインテグレータ4、反射率が大きく透過率が
小さなビームスプリッタ5、リレーレンズ7,8、視野
絞りとしてのレチクルブラインド機構BL及び折り曲げ
ミラー9等とを含んで構成されている。レチクルブライ
ンド機構BLは、レチクルRのパターン面に対する共役
面から僅かにデフォーカスした面に配置され、レチクル
R上の照明領域を規定する所定形状の開口部が形成され
た固定レチクルブラインド13Aと、この固定レチクル
ブラインド13Aの近傍のレチクルRのパターン面に対
する共役面に配置され、走査方向に対応する方向の位置
及び幅が可変の開口部を有する可動レチクルブラインド
13Bとを含んで構成されている。固定レチクルブライ
ンド13Aの開口部は、投影光学系PLの円形視野内の
中央で走査露光時のレチクルRの移動方向(X軸方向)
と直交したY軸方向に直線的に伸びたスリット状又は矩
形状に形成されているものとする。
【0059】この場合、走査露光の開始時及び終了時に
可動レチクルブラインド13Bを介して照明領域を更に
制限することによって、不要な部分の露光が防止される
ようになっている。この可動レチクルブラインド13B
は、ブラインド駆動装置13Cを介して後述する主制御
装置70(図1では不図示、図3参照)によって制御さ
れる。また、ビームスプリッタ5の透過光路上には光電
変換素子より成る光量モニタ6が配置されている。
【0060】ここで、照明光学系IOPの作用を簡単に
説明すると、光源1からほぼ水平に射出された真空紫外
域の光束(レーザビーム)LBは、折り曲げミラー3に
よりその光路が90°折り曲げられ、オプチカルインテ
グレータ4に入射する。そして、このレーザビームLB
は該オプチカルインテグレータ4によって強度分布がほ
ぼ一様な露光光ELに変換され、その大部分(例えば9
7%程度)がビームスプリッタ5で反射され、リレーレ
ンズ7を介して固定レチクルブラインド13Aを均一な
照度で照明する。こうして固定レチクルブラインド13
Aの開口部を通った露光光ELは、可動レチクルブライ
ンド13Bを通過した後、リレーレンズ8、折り曲げミ
ラー9及び後述する光透過窓12を介してレチクルR上
の所定の照明領域(Y軸方向に直線的に伸びたスリット
状又は矩形状の照明領域)を均一な照度分布で照明す
る。
【0061】一方、ビームスプリッタ5を透過した残り
部分(例えば3%程度)の露光光ELは、光量モニタ6
によって受光されて光電変換され、その光電変換信号が
主制御装置70(図3参照)に供給される。主制御装置
70では、光源1の発光開始に伴って、光量モニタ6の
出力に基づいて所定の演算により像面(ウエハW面)上
の照度を推定し、該推定結果に基づいてウエハW上の各
点に与えるべき積算露光量を制御するようになってい
る。
【0062】ところで、真空紫外域の波長の光を露光光
とする場合には、その光路から酸素、水蒸気、炭化水素
系のガス等の、かかる波長帯域の光に対し強い吸収特性
を有するガス(以下、適宜「吸収性ガス」と呼ぶ)を排
除する必要がある。このため、本実施形態では、照明系
ハウジング2の内部には、真空紫外域の光に対する吸収
の少ない特性を有する高純度の特定ガス、例えば窒素、
ヘリウム、アルゴン、ネオン、クリプトンなどのガス、
またはそれらの混合ガス(以下、適宜「低吸収性ガス」
と呼ぶ)が、後述するガス供給系によって流し続けられ
ている(フローされている)。この結果、照明系ハウジ
ング2の内部の低吸収性ガス中の吸収性ガス、有機系の
汚染物質などの不純物の含有濃度は1ppm以下となっ
ている。
【0063】前記レチクルステージ14は、レチクルR
を保持してマスク室(及び第2空間)としてのレチクル
室15内に配置されている。このレチクル室15は、照
明系ハウジング2及び投影光学系PLの鏡筒と隙間なく
接合された隔壁18で覆われており、その内部のガスが
外部と隔離されている。レチクル室15の隔壁18は、
ステンレス(SUS)等の脱ガスの少ない材料にて形成
されている。
【0064】レチクル室15の隔壁18の天井部には、
レチクルRより一回り小さい矩形の開口が形成されてお
り、この開口部分に照明系ハウジング2の内部空間と、
露光に用いられるレチクルRが配置されるレチクル室1
5の内部空間とを分離する状態で光透過窓12が配置さ
れている。この光透過窓12は、照明光学系からレチク
ルRに照射される露光光ELの光路上に配置されるた
め、露光光としての真空紫外光に対して透過性の高いホ
タル石等の結晶材料によって形成されている。
【0065】レチクルステージ14は、レチクルRを不
図示のレチクルベース定盤上でX軸方向に大きなストロ
ークで直線駆動するとともに、Y軸方向とθz方向(Z
軸回りの回転方向)に関しても微小駆動が可能な構成と
なっている。
【0066】これを更に詳述すると、レチクルステージ
14は、不図示のレチクルベース定盤上をリニアモータ
等を含むレチクル駆動系44(図1では不図示、図3参
照)によってX軸方向に所定ストロークで駆動されるレ
チクル走査ステージ14Aと、このレチクル走査ステー
ジ14A上に搭載されレチクルRを吸着保持するレチク
ルホルダ14Bとを含んで構成されている。レチクルホ
ルダ14Bは、レチクル駆動系44によってXY面内で
微少駆動(回転を含む)可能に構成されている。
【0067】レチクル室15の内部には、後述するガス
供給系により、前述した照明系ハウジング2の内部の低
吸収性ガスより僅かに低い純度の低吸収性ガスが流し続
けられている(フローされている)。これは、真空紫外
の露光波長を使用する露光装置では、酸素等の吸収性ガ
スによる露光光の吸収を避けるために、レチクルRの近
傍も前記低吸収性ガスで満たす必要があるためである。
この結果、レチクル室15内の低吸収性ガス中の吸収性
ガス及び有機系の汚染物質等の不純物の含有濃度は数p
pm以下程度となっている。
【0068】前記レチクル室15の隔壁18の−X側の
側壁には光透過窓71が設けられている。これと同様
に、図示は省略されているが、隔壁18の+Y側(図1
における紙面奥側)の側壁にも光透過窓が設けられてい
る。これらの光透過窓は、隔壁18に形成された窓部
(開口部)に該窓部を閉塞する光透過部材、ここでは一
般的な光学ガラスを取り付けることによって構成されて
いる。この場合、光透過窓71を構成する光透過部材の
取り付け部分からのガス漏れが生じないように、取り付
け部には、インジウムや銅等の金属シールや、フッ素系
樹脂による封止(シーリング)が施されている。この場
合、フッ素系樹脂として、80℃で2時間、加熱し、脱
ガス処理が施されたものを使うことが望ましい。
【0069】前記レチクルホルダ14Bの−X側の端部
には、平面鏡から成るX移動鏡72XがY軸方向に延設
されている。このX移動鏡72Xにほぼ垂直にレチクル
室15の外部に配置されたX軸レーザ干渉計74Xから
の測長ビームが光透過窓71を介して投射され、その反
射光が光透過窓71を介してレーザ干渉計74X内部の
ディテクタによって受光され、レーザ干渉計74X内部
の参照鏡の位置を基準としてX移動鏡72Xの位置、す
なわちレチクルRのX位置が検出される。
【0070】同様に、図示は省略されているが、レチク
ルホルダ14Bの+Y側の端部には、平面鏡から成るY
移動鏡がX軸方向に延設されている。そして、このY移
動鏡を介して不図示のY軸レーザ干渉計74Y(図3参
照)によって上記と同様にしてY移動鏡の位置、すなわ
ちレチクルRのY位置が検出される。上記2つのレーザ
干渉計74X、74Yの検出値(計測値)は主制御装置
70(図3参照)に供給されており、主制御装置70で
は、これらのレーザ干渉計74X、74Yの検出値に基
づいてレチクル駆動系44を介してレチクルステージ1
4の位置制御を行うようになっている。
【0071】このように、本実施形態では、レーザ干渉
計、すなわちレーザ光源、プリズム等の光学部材及びデ
ィテクタ等が、レチクル室15の外部に配置されている
ので、レーザ干渉計を構成するディテクタ等から仮に微
量の吸収性ガスが発生しても、これが露光に対して悪影
響を及ぼすことがないようになっている。
【0072】前記投影光学系PLは、ホタル石、フッ化
リチウム等のフッ化物結晶から成るレンズや反射鏡から
成る光学系をハウジングとしての鏡筒で密閉したもので
ある。本実施形態では、この投影光学系PLとして、投
影倍率βが例えば1/4あるいは1/5の縮小光学系が
用いられている。このため、前述の如く、照明光学系か
らの露光光ELによりレチクルRが照明されると、レチ
クルRに形成された回路パターンが投影光学系PLによ
りウエハW上のショット領域に縮小投影され、回路パタ
ーンの縮小像が転写形成される。
【0073】本実施形態のように、真空紫外域の露光波
長を使用する露光装置では、酸素等の吸収性ガスなどに
よる露光光の吸収を避けるために、投影光学系PLのハ
ウジング(鏡筒)内部も低吸収性ガス(特定ガス)を満
たす必要がある。このため、本実施形態では、投影光学
系PLの鏡筒内部には、照明系ハウジング2内の低吸収
性ガスと同程度の高純度の低吸収性ガスが、後述するガ
ス供給系によって流し続けられている(フローされてい
る)。この結果、投影光学系PLの鏡筒の内部の低吸収
性ガス中の吸収性ガス及び有機系の汚染物質などの不純
物の含有濃度は1ppm以下となっている。
【0074】前記ウエハステージWSTは、ウエハ室4
0内に配置されている。このウエハ室40は、投影光学
系PLの鏡筒と隙間なく接合された隔壁41で覆われて
おり、その内部のガスが外部と隔離されている。ウエハ
室40の隔壁41は、ステンレス(SUS)等の脱ガス
の少ない材料にて形成されている。
【0075】前記ウエハステージWSTは、例えばリニ
アモータ、あるいは磁気浮上型の2次元リニアアクチュ
エータ(平面モータ)等から成るウエハ駆動系47(図
1では不図示、図3参照)によってベースBSの上面に
沿ってかつ非接触でXY面内で自在に駆動されるように
なっている。
【0076】ウエハステージWST上にウエハホルダ3
5が搭載され、該ウエハホルダ35によってウエハWが
吸着保持されている。
【0077】真空紫外の露光波長を使用する露光装置で
は、酸素等の吸収性ガスなどによる露光光の吸収を避け
るために、投影光学系PLからウエハWまでの光路につ
いても前記低吸収性ガスを満たす必要がある。このた
め、本実施形態では、ウエハ室40内に、後述するガス
供給系により、前述したレチクル室15内と同程度の純
度の低吸収性ガスが流し続けられている(フローされて
いる)。この結果、ウエハ室40内の低吸収性ガス中の
吸収性ガス及び有機系の汚染物質等の不純物の含有濃度
は数ppm以下程度となっている。
【0078】前記ウエハ室40の隔壁41の−X側の側
壁には光透過窓38が設けられている。これと同様に、
図示は省略されているが、隔壁41の+Y側(図1にお
ける紙面奥側)の側壁にも光透過窓が設けられている。
これらの光透過窓は、隔壁41に形成された窓部(開口
部)に該窓部を閉塞する光透過部材、ここでは一般的な
光学ガラスを取り付けることによって構成されている。
この場合、光透過窓38を構成する光透過部材の取り付
け部分からのガス漏れが生じないように、取り付け部に
は、インジウムや銅等の金属シールや、フッ素系樹脂に
よる封止(シーリング)が施されている。この場合、フ
ッ素系樹脂として、80℃で2時間、加熱し、脱ガス処
理が施されたものを使うことが望ましい。
【0079】前記ウエハホルダ35の−X側の端部に
は、平面鏡から成るX移動鏡36XがY方向に延設され
ている。このX移動鏡36Xにほぼ垂直にウエハ室40
の外部に配置されたX軸レーザ干渉計37Xからの測長
ビームが光透過窓38を介して投射され、その反射光が
光透過窓38を介してレーザ干渉計37X内部のディテ
クタによって受光され、レーザ干渉計37X内部の参照
鏡の位置を基準としてX移動鏡36のX位置、すなわち
ウエハWのX位置が検出される。
【0080】同様に、図示は省略されているが、ウエハ
ホルダ35の+Y側の端部には、平面鏡から成るY移動
鏡がX方向に延設されている。そして、このY移動鏡を
介してY軸レーザ干渉計37Y(図1では不図示、図3
参照)によって上記と同様にしてY移動鏡の位置、すな
わちウエハWのY位置が検出される。上記2つのレーザ
干渉計37X、37Yの検出値(計測値)は主制御装置
70に供給されており、主制御装置70では、これらの
レーザ干渉計37X、37Yの検出値をモニタしつつウ
エハ駆動系47(図3参照)を介してウエハステージW
STの位置制御を行うようになっている。
【0081】このように、本実施形態では、レーザ干渉
計、すなわちレーザ光源、プリズム等の光学部材及びデ
ィテクタ等が、ウエハ室40の外部に配置されているの
で、上記ディテクタ等から仮に微量の吸収性ガスが発生
しても、これが露光に対して悪影響を及ぼすことがない
ようになっている。
【0082】次に、露光装置100のガス供給系につい
て図2に基づいて、かつ適宜他の図を参照しつつ説明す
る。図2には、露光装置100におけるガス供給系11
0の構成が簡略化して示されている。
【0083】この図2に示されるガス供給系110は、
同図から明らかなように、ガス供給装置20からの高純
度の特定ガス(低吸収性ガス)を、照明系ハウジング2
及び投影光学系PLの鏡筒内にそれぞれ供給し、これら
照明系ハウジング2、投影光学系PLからそれぞれ排気
されるガスを回収して所定の経路を経て、レチクル室1
5、ウエハ室40に置換用ガスとして再び供給し、不図
示の貯蔵室に排気するものである。
【0084】このガス供給系110は、ガス供給装置2
0、ガス純度監視装置としてのガス純度モニタ21、分
流装置22、ガス精製装置23、温調装置24、ポンプ
25及び配管系等を備えている。
【0085】ガス供給装置20は、高純度(不純物の含
有量が1ppm未満)の低吸収性ガスが収容されたボン
ベ(又はタンク)、ポンプ、及び温調装置等(いずれも
図示省略)を内蔵している。このガス供給装置20に
は、給気本管26Aの一端が接続され、該給気本管26
Aの他端側は2本の分岐管26B、26Cに分岐してい
る。一方の分岐管26Bの給気本管26A側と反対側の
端部は、図1に示されるように、照明系ハウジング2の
光源1側の端部に接続されている。この分岐管26Bの
照明系ハウジング2との接続部の近傍には、給気弁10
が設けられている。
【0086】他方の分岐管26Cの給気本管26A側と
反対側の端部は、図1に示されるように、投影光学系P
Lの鏡筒に接続されている。この分岐管26Cの投影光
学系PLとの接続部の近傍には、給気弁30が設けられ
ている。
【0087】照明系ハウジング2の光源1から最も遠い
他端側には、図1に示されるように、排気枝管27Aの
一端が接続され、この排気枝管27Aの照明系ハウジン
グ2との接続部の近傍には、排気弁11が設けられてい
る。
【0088】また、投影光学系PLの鏡筒には、図1に
示されるように、前記分岐管26C側と反対側に排気枝
管27Bの一端が接続され、この排気枝管27Bの投影
光学系PLとの接続部の近傍には、排気弁31が設けら
れている。
【0089】排気枝管27A、27Bの他端側は、図2
に示されるように、相互に集結して回収用配管28の一
端に接続されている。この回収用配管28の他端は、ガ
ス純度モニタ21の給気ポート21aに接続されてい
る。
【0090】ガス純度モニタ21は、給気ポート21a
から流入するガス中の吸収性ガス、例えば酸素及びオゾ
ン等の含有濃度を検出するガスセンサを内蔵している。
また、このガス純度モニタ21は、第1及び第2排気ポ
ート21b、21cを有し、これらの排気ポート21
b、21c及び前記給気ポート21aは、不図示の方向
制御弁を介して相互に接続されている。また、このガス
純度モニタ21は、コントローラを内蔵し、このコント
ローラは、前記ガスセンサにより検出される酸素及びオ
ゾン等の含有濃度が、予め定めた所定のしきい値以上で
ある場合には、第2排気ポート21cを介してガスが排
気されるように方向制御弁を切り替えるとともに、前記
ガスセンサにより検出される酸素及びオゾン等の含有濃
度が、予め定めた所定のしきい値未満である場合には、
第1排気ポート21bを介してガスが排気されるように
方向制御弁を切り替える。また、本実施形態では、ガス
センサの検出値は、主制御装置70にも供給されるよう
になっている(図3参照)。この場合、ガス純度モニタ
21の第1排気ポート21bは、配管29を介して分流
装置22の給気ポート22aに接続され、第2排気ポー
ト21cは、排気枝管50及び排気本管60を介して不
図示の貯蔵室に接続されている。
【0091】前記分流装置22は、第1及び第2排気ポ
ート22b、22cを有し、これらの排気ポート22
b、22c及び前記給気ポート22aは、不図示の配管
系を介して相互に接続されている。この配管系には、開
度(又は角度)が調整可能な調整弁が設けられており、
この調整弁の開度等を調整することにより、排気ポート
22b、22cに対する分流の割合が調整される。この
調整弁が、前述したガス純度モニタ21内のガスセンサ
の検出値に応じて主制御装置70によってそれぞれ制御
されるようになっている(図3参照)。分流装置22の
第1排気ポート22bには、配管51の一端が接続さ
れ、該配管51の他端はガス精製装置23の入口に接続
されている。
【0092】ガス精製装置23としては、ここでは、前
述した酸素等の吸収性ガスを除去するケミカルフィルタ
と、HEPAフィルタ(high efficiency particulate
air-filter)あるいはULPAフィルタ(ultra low pe
netration air-filter)等の塵(パーティクル)を除去
するフィルタを用いて、入口から流入したガス中の不純
物を取り除くことによりそのガスの純度(低吸収性ガス
の含有濃度)を上げるゲッタ方式の純化器が用いられ
る。あるいは、ガス精製装置23として、入口から流入
したガスをクライオポンプを用いて一旦液化し、再度気
化する際の温度の相違を利用してガス中の不純物を低吸
収性ガスと分離することにより、そのガスの純度を上げ
る純化器を用いることもできる。
【0093】ガス精製装置23の吐出口(出口)には、
配管52Aの一端が接続されている。この配管52Aの
他端は前述した分流装置22の第2排気ポート22cに
その一端が接続された配管52Bの他端とともに、ポン
プ25の一端に接続されている。ポンプ25の他端側
は、配管53を介して温調装置24の入口に接続されて
いる。
【0094】温調装置24は、クーラー、ヒーター、温
度センサ及び該温度センサの検出値に基づいて入口から
流入したガスの温度が所定温度となるようにクーラー、
ヒーターを制御するコントローラ等を内蔵している。ま
た、この温調装置24内部の出口近傍には、HEPAフ
ィルタあるいはULPAフィルタ等の塵(パーティク
ル)を除去するフィルタ(以下、「エアフィルタ」と総
称する)、酸素等の吸収性ガスを除去するケミカルフィ
ルタ等を含む、フィルタユニット24Aが設けられてい
る。この温調装置24の出口には、配管54Aの一端が
接続され、この配管54Aの他端側は2つに分岐され、
分岐管54B、54Cとされている。
【0095】これらの分岐管54B、54Cの配管54
A側とは反対側の端部は、レチクル室15、ウエハ室4
0にそれぞれ接続されている。また、分岐管54B、5
4Cのレチクル室15、ウエハ室40との接続部の近傍
には、図1に示されるように、給気弁16、32がそれ
ぞれ設けられている。また、レチクル室15、ウエハ室
40には、図1に示されるように、排気枝管55A、5
5Bの一端がそれぞれ接続され、これらの排気枝管55
A、55Bのレチクル室15、ウエハ室40との接続部
の近傍には、排気弁17、33がそれぞれ設けられてい
る。排気枝管55A、55Bの他端側は、図2に示され
るように、相互に集結して排気管55Cに接続されてい
る。
【0096】前記給気弁10,16,30,32及び排
気弁11,17,31,33としては、本実施形態で
は、弁開度が調整可能な流量制御弁が用いられている。
これらの弁は、主制御装置70によって制御されるよう
になっている。
【0097】図3には、本実施形態に係る露光装置10
0の制御系の主要な構成がブロック図にて示されてい
る。この制御系は、CPU(中央演算処理装置)、RO
M(リード・オンリ・メモリ)、RAM(ランダム・ア
クセス・メモリ)等を含んで構成されたマイクロコンピ
ュータ(又はワークステーション)から成る主制御装置
70を中心として構成されている。この主制御装置70
は、装置全体を統括して管理する。
【0098】次に、本実施形態の露光装置における照明
系ハウジング2、レチクル室15、投影光学系PL及び
ウエハ室40に対する、低吸収性ガスの供給及び排気動
作について説明する。
【0099】主制御装置70では、装置の立ち上げ時等
の初期ガス置換に際しては、オペレータからの指示に基
づき、給気弁10,16,30,32及び排気弁11,
17,31,33を全て全開にし、ガス供給装置20に
対してガス供給開始の指令を与える。これにより、ガス
供給装置20から給気本管26A及び分岐管26Bを介
して照明系ハウジング2内へ所定の温度に温度調整され
た高純度の低吸収性ガスの供給が開始される。これと同
時に、分岐管26Cを介して投影光学系PL(の鏡筒)
内へ前記高純度の低吸収性ガスの供給が開始される。こ
のようにして、初期ガス置換が開始される。
【0100】上記の低吸収性ガスの供給開始に応じて、
照明系ハウジング2内及び投影光学系PL内の内部気体
(ガス)が、排気枝管27A、27Bをそれぞれ介して
回収用配管28内に排気される。この回収用配管28内
に排気されたガスは、給気ポート21aを介してガス純
度モニタ21に流入する。ガス純度モニタ21内では、
ガスセンサによりそのガス中の酸素、オゾン等の吸収性
ガスの濃度が検出され、コントローラがその検出値に基
づいてその吸収性ガスの濃度が、予め定めたしきい値以
上であるか否かを判断する。この場合、初期ガス置換開
始直後であるから、回収用配管28からのガス(以下、
便宜上「回収ガス」とも呼ぶ)中には空気(酸素)が多
く含まれているので、コントローラは、第2排気ポート
21cから回収ガスが排気されるように方向弁を切り替
える。これにより、回収ガスは、排気枝管50を介して
不図示の貯蔵室に排出される。このとき、ガス純度モニ
タ21内のガスセンサの検出値は主制御装置70にも供
給されている。
【0101】上記の初期ガス置換の開始から所定時間が
経過するまでは、上記の状態が維持され、照明系ハウジ
ング2、投影光学系PLからの回収ガスは、不図示の貯
蔵室に排出される。
【0102】初期ガス置換の開始から所定時間が経過す
ると、照明系ハウジング2、投影光学系PL内の内部ガ
スがガス供給装置20から供給された低吸収性ガスにほ
ぼ置換される。この直後に、回収用配管28を介してガ
ス純度モニタ21に流入する回収ガス中の吸収性ガスの
濃度が減少し、その濃度が所定値未満となると、ガス純
度モニタ21のコントローラがガスセンサの検出値に基
づいてその吸収性ガスの濃度が、予め定めたしきい値未
満であると判断して、第1排気ポート21bを介して回
収ガスが排気されるように方向制御弁を切り替える。こ
のとき、主制御装置70では、ガス純度モニタ21のガ
スセンサの検出値に基づいて、照明系ハウジング2、投
影光学系PL内の初期ガス置換が終了したことを判別し
て、ポンプ25を作動するとともに、ガスセンサの検出
値に応じて分流装置22の調整弁の開度等を調整して、
排気ポート22b、22cのそれぞれから排出される回
収ガスの割合を調整する。
【0103】ここで、主制御装置70では、前記ガスセ
ンサの検出値が大きい程、配管51側(ガス精製装置2
3側)へより多くの回収ガスが送り込まれ、ガスセンサ
の検出値が小さい程、配管52B側へより多くの回収ガ
スが送り込まれるように、分流装置22の調整弁の開度
等を調整する。
【0104】これにより、ガス純度モニタ21の第1排
気ポート21bから排出された回収ガスは、配管29及
び給気ポート22aを介してガス分流装置22に流入
し、該ガス分流装置22で分流され、第1排気ポート2
2b、第2排気ポート22cからそれぞれ排出される。
【0105】第1排気ポート22bから排出された一部
の回収ガスは、配管51を介してガス精製装置23に流
入し、該ガス精製装置23内部を通過する間に吸収性ガ
ス等の不純物が取り除かれ、純度が高められた低吸収性
ガスとなって配管52A内に排出される。この純度が高
められた低吸収性ガスは、第2排気ポート22cから配
管52B内に排出された残りの回収ガスと、ポンプ25
に流入する直前で合流される。この合流により、僅かな
がら純度が低下した低吸収性ガスは、ポンプ25により
配管53を介して温調装置24に送り込まれる。
【0106】この温調装置24内では、コントローラが
温度センサの検出値に基づいて流入したガスが所定温度
となるようにクーラー、ヒーターを制御し、この温調さ
れたガスがフィルタユニット24Aを通過する際に吸収
性ガス等の化学的不純物及びパーティクル等が除去さ
れ、再生置換用低吸収性ガスとなって、配管54A内に
排出される。そして、この配管54A内に排出された再
生置換用低吸収性ガスが分岐管54B、54Cをそれぞ
れ介してレチクル室15、ウエハ室40に供給される。
この再生置換用低吸収性ガスの流入に応じてレチクル室
15、ウエハ室40から内部ガスが排気枝管55A、5
5Bをそれぞれ介して排気管55C内に排気される。す
なわち、このようにしてレチクル室15、ウエハ室40
内の初期ガス置換が開始される。排気管55C内に排気
されたガスは、排気本管60を介して不図示の貯蔵室に
排気される。
【0107】ところで、上記のレチクル室15、ウエハ
室40内の初期ガス置換の開始から所定時間が経過する
と、これらの部屋の初期ガス置換を含む初期ガス置換作
業が終了するが、本実施形態では、主制御装置70が不
図示のタイマーにより、前述したポンプ25の作動開始
からの経過時間を計り、この経過時間が予め定めた一定
時間Tとなったときに、初期ガス置換が終了したものと
判断する。そして、主制御装置70では、そのようにし
て初期ガス置換終了を判断すると、給気弁10,16,
30,32及び排気弁11,17,31,33のそれぞ
れの弁開度を予め定めたそれぞれの目標流量に応じた値
に設定して、照明系ハウジング2、レチクル室15、投
影光学系PL、ウエハ室40内の低吸収性ガスの純度を
それぞれの目標値に維持するガス純度維持状態に移行す
る。
【0108】なお、この場合において、レチクル室1
5、ウエハ室40の少なくとも一方の内部に、その内部
ガス中の低吸収性ガスの濃度又は吸収性ガス等の不純物
の濃度を検出するガスセンサ等の検出器を設け、主制御
装置70が、この検出器の検出値に基づいて不純物の濃
度が所定濃度未満となったことを判断し、この時点を上
記の初期ガス置換の終了時点であると判断しても、勿論
良い。
【0109】その後は、照明系ハウジング2、レチクル
室15、投影光学系PL、及びウエハ室40内の低吸収
性ガスの純度をそれぞれの目標値に維持するガス純度維
持状態が継続され、照明系ハウジング2及び投影光学系
PLの内部では低吸収性ガス中の吸収性ガス等の不純物
の含有濃度が1ppm未満に維持されるとともに、レチ
クル室15及びウエハ室40の内部では低吸収性ガス中
の吸収性ガス等の不純物の含有濃度が数ppm未満に維
持される。
【0110】上記のガス純度維持状態(ガス純度維持
時)において、主制御装置70では、ガス純度モニタ2
1内のガスセンサの検出値を常時モニタし、この検出値
に応じて分流装置22の調整弁を前述した基準に従って
常時調整し、レチクル室15及びウエハ室40の内部の
低吸収性ガスの純度を所定範囲に維持している。また、
ガス純度維持時においても、ガス純度モニタ21は、常
時回収ガスのガス純度を監視し、その回収ガス中の吸収
性ガス等の不純物の濃度が所定値以上となったことを検
知すると、第2排気ポート21cから回収ガスを排気す
る。
【0111】次に、本実施形態に係る露光装置100に
おける露光動作について、図1及び図3を参照しつつ、
主制御装置70の制御動作を中心として説明する。
【0112】前提として、ウエハW上のショット領域を
適正露光量(目標露光量)で走査露光するための各種の
露光条件が予め設定される。また、不図示のレチクル顕
微鏡及び不図示のオフアクシス・アライメントセンサ等
を用いたレチクルアライメント、ベースライン計測等の
準備作業が行われ、その後、アライメントセンサを用い
たウエハWのファインアライメント(EGA(エンハン
スト・グローバル・アライメント)等)が終了し、ウエ
ハW上の複数のショット領域の配列座標が求められる。
なお、上記のレチクルアライメント、ベースライン計測
等の準備作業については、例えば米国特許第5,24
3,195号に詳細に開示され、また、これに続くEG
Aについては、例えば米国特許第4,780,617号
等に詳細に開示されている。
【0113】このようにして、ウエハWの露光のための
準備動作が終了すると、主制御装置70では、アライメ
ント結果に基づいてウエハ側のX軸レーザ干渉計37X
及びY軸レーザ干渉計37Yの計測値をモニタしつつウ
エハWのファーストショット(第1番目のショット領
域)の露光のための走査開始位置にウエハ駆動系47を
介してウエハステージWSTを移動する。
【0114】そして、主制御装置70ではレチクル駆動
系44及びウエハ駆動系47を介してレチクルステージ
14とウエハステージWSTとのX方向の走査を開始
し、両ステージ14、WSTがそれぞれの目標走査速度
に達すると、露光光ELによってレチクルRのパターン
領域が照明され始め、走査露光が開始される。
【0115】この走査露光の開始に先立って、光源1の
発光は開始されているが、主制御装置70によってブラ
インド駆動装置13Cを介してレチクルブラインドBL
を構成する可動レチクルブラインド13Bの各ブレード
の移動がレチクルステージ14の移動と同期制御されて
いる。このため、レチクルR上のパターン領域外への露
光光ELの照射が防止されることは、通常のスキャニン
グ・ステッパと同様である。
【0116】主制御装置70では、特に上記の走査露光
時にレチクルステージ14のX軸方向の移動速度Vrと
ウエハステージWSTのX軸方向の移動速度Vwとが投
影光学系PLの投影倍率βに応じた速度比に維持される
ようにレチクルステージ14及びウエハステージWST
を同期制御する。
【0117】そして、レチクルRのパターン領域の異な
る領域が紫外パルス光で逐次照明され、パターン領域全
面に対する照明が完了することにより、ウエハW上のフ
ァーストショットの走査露光が終了する。これにより、
レチクルRの回路パターンが投影光学系PLを介してフ
ァーストショットに縮小転写される。
【0118】このようにして、ファーストショットの走
査露光が終了すると、主制御装置70によりウエハステ
ージWSTがX、Y軸方向にステップ移動され、セカン
ドショット(第2番目のショット領域)の露光のための
走査開始位置に移動される。このステッピングの際に、
主制御装置70ではウエハ側のレーザ干渉計37X、3
7Yの計測値に基づいてウエハステージWSTのX、
Y、θz(Z軸回りの回転)方向の位置変位をリアルタ
イムに計測する。この計測結果に基づき、主制御装置7
0ではXY位置変位が所定の状態になるようにウエハス
テージWSTの位置を制御する。
【0119】また、主制御装置70ではウエハステージ
WSTのθz方向の変位の情報に基づいて、そのウエハ
W側の回転変位の誤差を補償するようにレチクルステー
ジ14上のレチクルホルダ14Bを回転制御する。
【0120】そして、主制御装置70ではセカンドショ
ットに対して上記と同様の走査露光を行う。
【0121】このようにして、ウエハW上のショット領
域の走査露光と次ショット領域露光のためのステッピン
グ動作とが繰り返し行われ、ウエハW上の全ての露光対
象ショット領域にレチクルRの回路パターンが順次転写
される。
【0122】上記のウエハ上の各ショット領域に対する
走査露光に際して、常時照明系ハウジング2、投影光学
系PL内等が高純度の低吸収性ガスでパージされ、光学
性能が最大限発揮できる状態となっており、また、走査
露光時においても、投影光学系PLの結像性能の経時的
な劣化がほとんど起こらないので、ウエハ上の転写パタ
ーンの劣化を防止することができる。
【0123】なお、上記の説明では特に明示しなかった
が、照明系ハウジング2、レチクル室15、投影光学系
PLの鏡筒、ウエハ室40等の内部は、不図示のエンバ
イロメンタル・チャンバと同程度の精度で温度調整が行
われている。また、上記では特に明示しなかったが、照
明系ハウジング2等の低吸収性ガスが直接接触する部分
は、前述した投影光学系PLの鏡筒50、レチクル室1
5、ウエハ室40の隔壁と同様にステンレス(SUS)
等の脱ガスの少ない材料で構成することが望ましい。あ
るいは、照明系ハウジング2、レチクル室15、投影光
学系PLの鏡筒、ウエハ室40等の低吸収性ガスが直接
接触する部分にはその表面に炭化水素等の吸収性ガスの
脱ガスによる発生の少ないフッ素系樹脂等のコーティン
グを施しても良い。
【0124】以上詳細に説明したように、本実施形態の
露光装置100によると、ガス供給系110により、所
定の純度の低吸収性ガスが照明系ハウジング2及び投影
光学系PLの鏡筒(ハウジング)内に供給されるととも
に、これら照明系ハウジング2及び投影光学系PLの鏡
筒から排気されるガスが回収され、この回収された回収
ガスが所定の経路を経てレチクル室15及びウエハ室4
0に置換用ガス(再生置換用ガス)として再び供給され
る。この場合、回収ガスは、照明系ハウジング2及び投
影光学系PLの鏡筒内を流通することにより、照明系ハ
ウジング2及び投影光学系PLの鏡筒内部における脱ガ
ス等により純度が僅かに低下しているが、本実施形態で
は、この回収ガスの一部が分流装置22を介してガス精
製装置23に送られて純度が高められた後に、残りのガ
スと合流され、更に所定温度に温調された再生置換用ガ
スとされている。このため、この再生置換用ガスの純度
は、ガス供給装置20から供給される低吸収性ガスより
僅かに低い純度となっており、この再生置換用ガスを用
いてレチクル室15、ウエハ室40内のガス置換を行え
ば要求されるパージ精度を確実に達成できる。
【0125】従って、本実施形態によると、各部屋
(2、PL、15、40)に要求される低吸収性ガスの
純度を十分に満足することができ、これにより、露光中
等における露光光ELの透過率の低下を極めて効果的に
抑制することができる。また、照明系ハウジング2及び
投影光学系PLの鏡筒内を流通した低吸収性ガスをレチ
クル室15、ウエハ室40内の置換用ガスとして利用す
るので、低吸収性ガスの利用効率を向上することがで
き、これにより低吸収性ガスの無駄な消費を抑制するこ
とができる。
【0126】また、本実施形態では、ガス供給系110
が、照明系ハウジング2及び投影光学系PLの鏡筒から
回収されるガス(回収ガス)を分流してその一部をガス
精製装置23に供給し、該ガス精製装置23を通過した
一部のガスと前記残りのガスとを合流させるガス供給経
路(28、29、22、51、52A、52B)と、該
ガス供給経路により分流された一部のガスを純化して該
ガス中の低吸収性ガスの純度を上げるガス精製装置23
と、該ガス精製装置23を経由して純度が高められたガ
スと残りのガス(ガス精製装置23を経由しなかったガ
ス)との合流されたガスが供給され、その供給されたガ
ス中の不純物を取り除くケミカルフィルタとエアフィル
タとを含むフィルタユニット24Aを有し、前記ガスを
所定温度に温度調節して再生置換用ガスとしてレチクル
室15、ウエハ室40内に供給する温調装置24とを備
えている。そして、これらの構成要素によって前述した
ようにして回収ガスが再生置換用ガスに再生されるの
で、レチクル室15、ウエハ室40内には、化学的な不
純物が取り除かれ温度調節がなされた比較的純度の高い
低吸収性ガス(再生用置換ガス)が供給されるようにな
っている。
【0127】更に、本実施形態の露光装置100は、照
明系ハウジング2及び投影光学系PLの鏡筒から排気さ
れる(回収される)ガス中の不純物の濃度を監視すると
ともに、不純物の濃度が所定値より高い場合にはガスを
外部に排気するガス純度モニタ21を備えており、該ガ
ス純度モニタ21により、照明系ハウジング2及び投影
光学系PLの鏡筒から排気されるガス中の不純物の濃度
が監視され、不純物の濃度が所定値より高いガスは外部
に排気される。このため、初期ガス置換時は勿論、ガス
純度維持時においても、不純物があるレベル以上多いガ
スが、ガス精製装置23に供給されることがないので、
ガス精製装置23の寿命を延ばすことができる。
【0128】一方、不純物の濃度が所定値未満の場合に
は、ガス純度モニタ21では、第1排気ポート21bに
ガスを排気するので、そのガスが回収されて最終的にレ
チクル室15、ウエハ室40に置換用ガスとして供給さ
れる。従って、第1室(2、PL)から排気されるガス
中の不純物の濃度が低いときには、そのガスが第2室
(15、40)内の置換ガスとして再利用されるので、
特定ガス(低吸収性ガス)の利用効率を向上して無駄な
消費を抑制することができるまた、本実施形態の露光装
置100によると、光源1からウエハW面に至る露光光
ELの光路の全てが、露光光ELの吸収の少ない低吸収
性ガスで置換された状態で露光が行われるので、照明光
学系IOP及び投影光学系PLに入射するエネルギビー
ムの透過率(又は反射率)を高く維持することができ、
高精度な露光量制御を長期に渡って行うことが可能にな
る。また、露光光ELとして、真空紫外光が用いられる
ので、投影光学系PLの解像力の向上が可能である。従
って、長期に渡ってウエハ上にレチクルパターンを精度
良く転写することができる。
【0129】ところで、前述したガス供給系の構成は、
一例であって、本発明に係るガス供給系の構成がこれに
限定されるものではない。例えば、上記実施形態のガス
供給系110において、分流装置22を中心とする上記
ガス供給経路(28、29、22、51、52A、52
B)を取り去り、回収用配管28の出口側をガス純度モ
ニタ21を介して(あるいは直接)ガス精製装置23の
入口に接続し、該ガス精製装置23の出口を配管及びポ
ンプ25を介して温調装置24に接続しても構わない。
このようにすると、回収用配管28で回収された回収ガ
スの全てがガス精製装置23に流入するため、上記実施
形態に比べてガス精製装置23の寿命が短くなる(フィ
ルタの寿命又はクライオポンプのメンテナンス頻度が多
くなる)が、温調装置24からレチクル室15、ウエハ
室40に供給される再生用置換ガスの純度は却って高く
なるので、各部屋(2、PL、15、40)に要求され
る低吸収性ガスの純度(パージ精度)をより確実に満足
することができる。
【0130】また、例えば、ガス精製装置23も更に省
略し、回収用配管28の出口側を、ガス純度モニタ21
を介して(あるいはガス純度モニタを介することな
く)、ポンプ25を介して温調装置24に接続すること
も可能である。このようにすると、回収用配管で回収さ
れた回収ガスが温調装置24によって不純物が除去さ
れ、所定温度に調整された再生置換用ガスとしてレチク
ル室15、ウエハ室40に供給されることとなるが、特
に支障はない。露光光ELの光路が長く、レンズ等の光
学素子が多数含まれる照明光学系IOPや投影光学系P
Lでは、その内部の光路上から不純物を排除するため、
その内部を非常に高純度の特定ガス(低吸収性ガス)で
満たさなければならないのに対し、レチクル室15やウ
エハ室40内では、多少の不純物が存在してもその光路
が短いため、その影響はそれほど大きくないからであ
る。
【0131】さらに、温調装置24をも取り去り、回収
用配管28の出口側を、ガス純度モニタ21を介して
(あるいはガス純度モニタを介することなく)、ポンプ
25を介して配管54Aに接続しても良い。ガス精製装
置が設けられていない場合には、回収用配管28で回収
された回収ガスの温度変化はそれほど大きくはなく、そ
の回収されたガスはレチクル室、ウエハ室等に送られる
ので温調装置を必ずしも設けなくても大きな問題は生じ
ないものと思われる。
【0132】勿論、ガス純度モニタ21も必ずしも設け
る必要はない。但し、この場合において、ガス精製装置
を設ける場合には、前述したガス供給経路(28、2
9、22、51、52A、52B)を設けて、回収ガス
の全てがガス精製装置に流入しないようにするととも
に、少なくとも初期ガス置換時の酸素等を多く含む回収
ガスがガス精製装置に送り込まれないようにすることが
望ましい。これは、ガス精製装置は多くのガスを通すと
寿命が短くなるため、一部のガスだけガス精製装置を通
してガス純度を維持することが望ましいからである。ま
た、ガス精製装置に不純物の多いガスを流すと同様にガ
ス精製装置の寿命が短くなるため、初期ガス置換作業に
おいても、置換初期の不純物の多い回収ガスは排気する
ことによりガス精製装置の長寿命化を図ることが望まし
いからである。特に、低吸収性ガスとして、ヘリウムを
用いる場合、へリウム用の純化器(ゲッター方式)等は
多量の酸素と反応すると発熱するため、上記のような手
法が特に重要となる。
【0133】また、例えば、エネルギビーム源としての
光源1とウエハWとの間で、かつ第1光学素子と第2光
学素子との間に形成される第1室(例えば、投影光学系
PLを構成する隣接するレンズ素子相互間の空間を気密
室とした場合のその気密室)と、光源1とウエハとの間
に配置された可動の光学部材(例えば、可動レチクルブ
ラインド13B、レチクルR等)及び該光学部材を駆動
する駆動系(例えば、ブラインド駆動装置13C、レチ
クルステージRST及びレチクル駆動系44)との少な
くとも一部を収容する第2室(例えば、上記実施形態の
レチクル室15など)とを備える場合、低吸収性ガスを
第1室に供給し、該第1室内から排出されるガスを回収
して第2室に供給するガス供給系を設けても良い。この
場合、第1室は光路上に存在する光学素子相互間に形成
されるので、その内部を低吸収性ガスでパージする主目
的は、不純物を極力排除することである。このため、第
1室内に供給される低吸収性ガスは高純度であることが
要求される。これに対し、可動の光学部材及び駆動系の
少なくとも一部を収容する第2室内を低吸収性ガスでパ
ージする主目的は、可動部から発生した塵(パーティク
ル)等の不純物を外部に排出することである。このた
め、第2室内に供給される特定ガスは、第1室に比べて
純度の低い特定ガスであっても良い。従って、このよう
にすれば、各室に要求される低吸収性ガスの純度を十分
に満足しつつ、第1室内のエネルギビーム(露光光)の
透過率を維持し、第1室内を流通した特定ガスを外部に
排気することなく、第2室内の置換用ガスとして利用す
るので、特定ガスの利用効率を向上することができ、こ
れにより特定ガス(低吸収性ガス)の無駄な消費を抑制
することができる。
【0134】《第2の実施形態》次に、本発明の第2の
実施形態を図4に基づいて説明する。ここで、前述した
第1の実施形態と同一若しくは同等の構成部分について
は同一の符号を用いるとともに、その説明を簡略にし若
しくは省略するものとする。
【0135】この第2の実施形態に係る露光装置は、前
述した第1の実施形態の露光装置と比較して、照明系ハ
ウジング2、レチクル室15、投影光学系PLの鏡筒
(ハウジング)及びウエハ室40に対する特定ガスとし
ての低吸収性ガス供給・排気システムの構成が相違する
のみで、その他の部分の構成は同様であるから、以下に
おいては、この相違点を中心として説明する。
【0136】図4には、第2の実施形態に係る露光装置
の低吸収性ガス供給・排気システムであるガス循環系1
20が示されている。このガス循環系120は、照明系
ハウジング2、レチクル室15、投影光学系PLの鏡筒
(ハウジング)及びウエハ室40から回収された回収ガ
スを、ガス供給装置20’から供給される新たな高純度
の低吸収性ガスとともに、これら照明系ハウジング2、
レチクル室15、投影光学系PL及びレチクル室40内
に再び供給して循環使用する点に特徴を有する。この場
合、ガス供給装置20’からは、減少分を補充するのみ
である。
【0137】このガス循環系120は、温調装置2
4’、ガス純度監視装置としてのガス純度モニタ21、
分流装置22、ガス精製装置23、ポンプ25及び配管
系等を備えている。
【0138】温調装置24’は、その第1の入口24b
が、配管59を介して低吸収性ガスの外部供給源である
ガス供給装置20’に接続されている。ガス供給装置2
0’は、高純度(不純物の含有量が1ppm未満)の低
吸収性ガスが収容されたボンベ(又はタンク)、ポンプ
等(いずれも図示省略)を内蔵している。
【0139】温調装置24’は、第1の入口24bの
他、第2の入口24cと出口24dとを有する。この温
調装置24’の第2の入口24cには、配管58の一端
が接続され、この配管58の他端は、ポンプ25を介し
て前述したガス供給経路(28、29、22、51、5
2A、52B)を構成する配管52A、52Bの集束端
部に接続されている。温調装置24’内部には、第2の
入口を介して流入したガスの流量を検出する不図示の流
量センサも設けられており、この流量センサの出力が前
述した主制御装置70に供給されるようになっている。
主制御装置70では、この流量センサの出力に基づい
て、ガス供給装置20’から供給すべき高純度(不純物
の含有量が1ppm未満)の低吸収性ガスの流量を制御
する。この場合、主制御装置70では、後述するガス純
度維持時には、配管58を介して流入するガスの流量
と、ガス供給装置20’から供給(補充)される低吸収
性ガスの流量との総和が常に一定値となるようにガス供
給装置20’を制御する。
【0140】温調装置24’は、クーラー、ヒーター、
温度センサ及び該温度センサの検出値に基づいて第1及
び第2入口24b、24cから流入したガスの温度が所
定温度となるようにクーラー、ヒーターを制御するコン
トローラ等を内蔵している。また、この温調装置24’
内部の出口近傍には、フィルタユニット24Aが設けら
れている。この温調装置24’の出口24dには、給気
本管26Aの一端が接続され、該給気本管26Aの他端
側は4つの分岐管26B、54B、26C、54Cに分
岐している。これらの分岐管26B、54B、26C、
54Cは、前述した第1の実施形態と同様に、照明系ハ
ウジング2、レチクル室15、投影光学系PL、及びレ
チクル室40の一端にそれぞれ接続されている。分岐管
26B、54B、26C、54Cの各部屋との接続端の
近傍には、前述した第1の実施形態と同様に給気弁1
0、16、30、32がそれぞれ設けられている。
【0141】照明系ハウジング2、レチクル室15、投
影光学系PL、及びレチクル室40の他端には、前述し
た第1の実施形態と同様に、排気枝管27A、55A、
27B、55Bの一端が接続され、これらの排気枝管2
7A、55A、27B、55Bの一端部近傍には、排気
弁11、17、31、33がそれぞれ設けられている。
また、4本の排気枝管27A、55A、27B、55B
の他端側は、図4に示されるように、相互に集結して回
収用配管28の一端に接続されている。この回収用配管
28の他端側は、ガス純度モニタ21の給気ポート21
aに接続されている。
【0142】ガス純度モニタ21の第2排気ポート21
cには、排気管50’の一端が接続され、この排気管5
0’の他端側は不図示の貯蔵室に接続されている。
【0143】ガス循環系120の残りの構成部分は、前
述した第1の実施形態のガス供給系110と同様となっ
ている。また、その他の部分の構成等は、前述した第1
の実施形態の露光装置100と同様になっている。
【0144】次に、本第2の実施形態の露光装置におけ
る照明系ハウジング2、レチクル室15、投影光学系P
L及びウエハ室40に対する、低吸収性ガスの供給及び
排気動作について説明する。
【0145】主制御装置70では、装置の立ち上げ時等
の初期ガス置換に際しては、オペレータからの指示に基
づき、給気弁10,16,30,32及び排気弁11,
17,31,33を全て全開にし、ガス供給装置20’
を制御してガス供給装置20’からの高純度の低吸収性
ガスを配管59を介して温調装置24’に対して供給開
始する。温調装置24’内では、コントローラが温度セ
ンサの検出値に基づいて流入したガスが所定温度となる
ようにクーラー、ヒーターを制御し、この温調されたガ
スがフィルタユニット24Aを通過する際に吸収性ガス
等の化学的不純物及びパーティクル等が除去された後、
給気本管26A内に供給される。この給気本管26A内
に供給された低吸収性ガスは、分岐管26B、54B、
26C、54Cをそれぞれ介して照明系ハウジング2、
レチクル室15、投影光学系PL、及びレチクル室40
内にそれぞれ供給され始める。このようにして、初期ガ
ス置換が開始される。
【0146】上記の低吸収性ガスの供給開始に応じて、
照明系ハウジング2、レチクル室15、投影光学系P
L、ウエハ室40からはその内部気体が、排気枝管27
A、55A、27B、55Bをそれぞれ介して回収用配
管28内に排気される。この回収用配管28内に排気さ
れたガスは、給気ポート21aを介してガス純度モニタ
21に流入する。ガス純度モニタ21内では、ガスセン
サによりそのガス中の酸素、オゾン等の吸収性ガスの濃
度が検出され、コントローラがその検出値に基づいてそ
の吸収性ガスの濃度が、予め定めたしきい値以上である
か否かを判断する。この場合、初期ガス置換開始直後で
あるから、回収用配管28からのガス(以下、便宜上
「回収ガス」とも呼ぶ)中には空気(酸素)が多く含ま
れているので、コントローラは、第2排気ポート21c
から回収ガスが排気されるように方向弁を切り替える。
これにより、回収ガスは、排気配管50’を介して不図
示の貯蔵室に排出される。このとき、ガス純度モニタ2
1内のガスセンサの検出値は主制御装置70にも供給さ
れている。
【0147】上記の初期ガス置換の開始から所定時間が
経過するまでは、上記の状態が維持され、照明系ハウジ
ング2、レチクル室15、投影光学系PL、及びウエハ
室40からの回収ガスは、不図示の貯蔵室に排出され
る。
【0148】初期ガス置換の開始から所定時間が経過す
ると、照明系ハウジング2、レチクル室15、投影光学
系PL、及びウエハ室40内の内部ガスがガス供給装置
20’から供給された低吸収性ガスにほぼ置換される。
この直後に、回収用配管28を介してガス純度モニタ2
1に流入する回収ガス中の吸収性ガスの濃度が減少し、
その濃度が所定値未満となると、ガス純度モニタ21の
コントローラがガスセンサの検出値に基づいてその吸収
性ガスの濃度が、予め定めたしきい値未満であると判断
して、第1排気ポート21bを介して回収ガスが排気さ
れるように方向制御弁を切り替える。このとき、主制御
装置70では、ガス純度モニタ21のガスセンサの検出
値に基づいて、初期ガス置換が終了したことを判別し
て、ポンプ25を作動するとともに、ガスセンサの検出
値に応じて分流装置22の調整弁の開度等を調整して、
排気ポート22b、22cのそれぞれから排出される回
収ガスの割合を調整する。この調整は、前述した第1の
実施形態と同様に行われる。
【0149】この場合において、ガス純度モニタ21の
コントローラは、上記初期ガス置換作業時に、当該初期
ガス置換作業の開始から所定時間の経過によりガス中の
不純物が所定の濃度未満となったと判断することとして
も良い。主制御装置70も、これと同様にして初期ガス
置換の終了を判断することとしても良い。
【0150】また、主制御装置70では、上記のように
して初期ガス置換終了を判断すると、給気弁10,1
6,30,32及び排気弁11,17,31,33のそ
れぞれの弁開度を予め定めたそれぞれの目標流量に応じ
た値に設定して、照明系ハウジング2、レチクル室1
5、投影光学系PL、ウエハ室40内の低吸収性ガスの
純度を所定の目標値に維持するガス純度維持状態に移行
する。
【0151】このガス純度維持状態では、ガス純度モニ
タ21の第1排気ポート21bから排出された回収ガス
は、配管29及び給気ポート22aを介してガス分流装
置22に流入し、該ガス分流装置22で分流され、第1
排気ポート22b、第2排気ポート22cからそれぞれ
排出される。第1排気ポート22bから排出された一部
の回収ガスは、配管51を介してガス精製装置23に流
入し、該ガス精製装置23内部を通過する間に吸収性ガ
ス等の不純物が取り除かれ、純度が高められた低吸収性
ガスとなって配管52A内に排出される。この純度が高
められた低吸収性ガスは、第2排気ポート22cから配
管52B内に排出された残りの回収ガスと、ポンプ25
に流入する直前で合流される。この合流により、僅かな
がら純度が低下した低吸収性ガスは、ポンプ25により
配管58を介して温調装置24’の第2の入口24cに
送り込まれる。この送り込まれた低吸収性ガスの流量が
温調装置24’内の流量センサにより検出され、主制御
装置70では、この検出値に基づいて、配管58を介し
て流入するガスの流量と、ガス供給装置20’から供給
(補充)される低吸収性ガスの流量との総和が常に一定
値となるようにガス供給装置20’を制御する。
【0152】温調装置24’内では、コントローラが温
度センサの検出値に基づいて2つの入口24b、24c
から流入した一定流量の低吸収性ガスが所定温度となる
ようにクーラー、ヒーターを制御し、この温調されたガ
スがフィルタユニット24Aを通過する際に吸収性ガス
等の化学的不純物及びパーティクル等が除去され、置換
用低吸収性ガスとなって、給気本管26A内に供給さ
れ、この給気本管26A内に供給された低吸収性ガス
は、分岐管26B、54B、26C、54Cをそれぞれ
介して照明系ハウジング2、レチクル室15、投影光学
系PL、及びレチクル室40内にそれぞれ循環供給され
る。
【0153】その後は、照明系ハウジング2、レチクル
室15、投影光学系PL、及びウエハ室40内の低吸収
性ガスの純度を所定の目標値に維持するガス純度維持状
態が継続され、照明系ハウジング2、レチクル室15、
投影光学系PL、及びウエハ室40の内部では低吸収性
ガス中の吸収性ガス等の不純物の含有濃度が1ppm未
満に維持される。
【0154】上記のガス純度維持状態(ガス純度維持
時)において、主制御装置70では、ガス純度モニタ2
1内のガスセンサの検出値を常時モニタし、この検出値
に応じて分流装置22の調整弁を前述した基準に従って
常時調整し、照明系ハウジング2、レチクル室15、投
影光学系PL、及びウエハ室40の内部の低吸収性ガス
の純度を所定範囲に維持している。また、ガス純度維持
時においても、ガス純度モニタ21は、常時回収ガスの
ガス純度を監視し、その回収ガス中の吸収性ガス等の不
純物の濃度が所定値以上となったことを検知すると、第
2排気ポート21cから回収ガスを排気する。
【0155】以上説明したように、本第2の実施形態に
係る露光装置によると、ガス循環系120が、露光光
(エネルギビーム)ELが透過する特性を有する高純度
の低吸収性ガスを、その純度を所定の範囲内に維持しか
つ外部から補充しつつ、光源1とウエハWとの間のエネ
ルギビームの光路上に位置する閉空間、具体的には照明
系ハウジング2、レチクル室15、投影光学系PL、及
びウエハ室40に供給し、その低吸収性ガスの供給に応
じて照明系ハウジング2、レチクル室15、投影光学系
PL、及びウエハ室40から排気されるガスを回収し、
その回収ガスとガス供給装置20’から補充される低吸
収性ガスとを所定の経路を経て、照明系ハウジング2、
レチクル室15、投影光学系PL、及びウエハ室40に
再び供給する。
【0156】このため、低吸収性ガスの大部分が照明系
ハウジング2、レチクル室15、投影光学系PL、及び
ウエハ室40で再利用されることとなり、低吸収性ガス
の利用効率を向上して無駄な消費を抑制することができ
る。この場合、照明系ハウジング2、レチクル室15、
投影光学系PL、及びウエハ室40内に供給された低吸
収性ガスは、吸収性ガス等の不純物が極端に多く含有さ
れる場合以外には、積極的に外部に排気されることがな
い。従って、前述した第1の実施形態に比べて、低吸収
性ガスの利用効率の一層の向上、及び消費量の低減が可
能である。
【0157】また、ガス循環系120により、照明系ハ
ウジング2、レチクル室15、投影光学系PL、及びウ
エハ室40に供給される低吸収性ガスの純度は所定の範
囲内に維持されているので、照明系ハウジング2、レチ
クル室15、投影光学系PL、及びウエハ室40内での
露光光透過率の低下も防止することができる。
【0158】また、ガス循環系120は、照明系ハウジ
ング2、レチクル室15、投影光学系PL、及びウエハ
室40から回収されるガスの少なくとも一部を純化し、
該ガス中の低吸収性ガスの純度を上げるガス精製装置2
3と、ガス精製装置23を経由したガスが、ガス精製装
置23を経由しなかった回収ガス、並びにガス供給装置
20’から補充される高純度の低吸収性ガスとともに供
給され、それらの供給されたガス中の不純物を取り除く
フィルタユニット24A(ケミカルフィルタを含む)を
有し、前記ガスを所定温度に温度調節して照明系ハウジ
ング2、レチクル室15、投影光学系PL、及びウエハ
室40内に供給する温調装置24’とを備えている。こ
のため、照明系ハウジング2、レチクル室15、投影光
学系PL、及びウエハ室40内には、化学的な不純物が
取り除かれ温度調節がなされた純度の高い低吸収性ガス
が循環供給されるので、照明系ハウジング2、レチクル
室15、投影光学系PL、及びウエハ室40内における
エネルギビーム(露光光)の透過率の低下を効果的に抑
制することができる。
【0159】また、この場合、温調装置24’は、ガス
供給装置20’からの新たな低吸収性ガスと、回収され
たガスとの温調用として共用されているので、ガス供給
装置側に温調装置が不要となっている。
【0160】また、本第2の実施形態では、照明系ハウ
ジング2、レチクル室15、投影光学系PL、及びウエ
ハ室40から回収されるガス中の不純物の濃度を監視す
るとともに、不純物の濃度が所定値より高い場合にはガ
スを外部に排気するガス純度モニタ21を備えているこ
とから、ガス純度モニタ21により、照明系ハウジング
2、レチクル室15、投影光学系PL、及びウエハ室4
0から排気されるガス中の不純物の濃度が監視され、不
純物の濃度が所定値以上のときはガスが外部に排気され
るので、不純物があるレベル以上多いガスはガス精製装
置23に供給されることがない。これにより、ガス精製
装置23の寿命(フィルタの寿命又はクライオポンプの
メンテナンス頻度)を延ばすことができる。
【0161】また、本第2の実施形態では、ガス循環系
120は、照明系ハウジング2、レチクル室15、投影
光学系PL、及びウエハ室40内のガス(酸素等の吸収
性ガスを多く含むガス)を低吸収性ガスに置換する初期
ガス置換作業時に、照明系ハウジング2、レチクル室1
5、投影光学系PL、及びウエハ室40から排気される
ガス中の不純物が所定の濃度以下となるまでの間は、ガ
スを回収することなく外部に排気する。このため、閉空
間から排気されるガス中の不純物(吸収性ガス等)の濃
度が高いときには、ガス循環系120によりガスが外部
に排気されるので、照明系ハウジング2、レチクル室1
5、投影光学系PL、及びウエハ室40内に供給される
低吸収性ガスの純度を極端に悪化させることがなく、そ
れらの閉空間内でのエネルギビーム(露光光)の透過率
の低下を抑制することができる。
【0162】また、本第2の実施形態の露光装置でも、
光源1からウエハW面に至る露光光ELの光路の全て
が、露光光ELの吸収の少ない低吸収性ガスで置換され
た状態で露光が行われるので、照明光学系IOP及び投
影光学系PLに入射する露光光ELの透過率(又は反射
率)を高く維持することができ、高精度な露光量制御を
長期に渡って行うことが可能になる。また、露光光EL
として、真空紫外光が用いられるので、投影光学系PL
の解像力の向上が可能である。従って、長期に渡ってウ
エハ上にレチクルパターンを精度良く転写することがで
きる。
【0163】なお、本第2の実施形態のガス循環系12
0の構成は、一例であって、本発明に係るガス循環系の
構成がこれに限定されないことは勿論である。例えば、
上記実施形態のガス循環系120において、ガス供給経
路(28、29、22、51、52A、52B)を取り
去り、回収用配管28の出口側をガス純度モニタ21を
介して(あるいは直接)ガス精製装置23の入口に接続
し、該ガス精製装置23の出口を配管及びポンプ25を
介して温調装置24’に接続しても構わない。このよう
にすると、回収用配管28で回収された回収ガスの全て
がガス精製装置23に流入するため、上記実施形態に比
べてガス精製装置23の寿命が短くなるが、温調装置2
4’から照明系ハウジング2、レチクル室15、投影光
学系PL、及びウエハ室40に供給される低吸収性ガス
の純度は却って高くなるので、各部屋(2、PL、1
5、40)に要求される低吸収性ガスの純度(パージ精
度)をより確実に満足することができる。
【0164】また、例えば、温調装置24’のフィルタ
ユニット24Aが非常に高性能なものであれば、ガス精
製装置23も更に省略し、回収用配管28の出口側を、
ガス純度モニタ21を介して(あるいはガス純度モニタ
を介することなく)、ポンプ25を介して温調装置2
4’に接続することも可能である。
【0165】勿論、前述した第1の実施形態と同様に、
ガス純度モニタ21も必ずしも設ける必要はない。但
し、この場合において、ガス精製装置を設ける場合に
は、前述したガス供給経路(28、29、22、51、
52A、52B)を設けて、回収ガスの全てがガス精製
装置に流入しないようにするとともに、少なくとも初期
ガス置換時の酸素等を多く含む回収ガスがガス精製装置
に送り込まれないようにすることが望ましい。その理由
は、前述した通りである。
【0166】これまでの説明から明らかなように、本第
2の実施形態では、照明系ハウジング2、レチクル室1
5、投影光学系PL、及びウエハ室40のそれぞれが、
エネルギビーム源としての光源1とウエハWとのエネル
ギビーム(露光光)ELの光路上に位置する閉空間を構
成し、ガス循環系120が、前記閉空間に対して、エネ
ルギビームが透過する特性を有する低吸収性ガスを、そ
の純度を所定の範囲内に維持しかつ外部から補充しつつ
供給するとともに、前記閉空間から排気されるガスを回
収して前記閉空間に再び供給する場合について説明し
た。すなわち、本発明の第1室と第2室とが同一の閉空
間とされた場合について説明した。しかしながら、これ
に限らず、例えば、前述した第1の実施形態と同様に、
照明系ハウジング2、投影光学系PLにより第1室を構
成し、第2室を例えばレチクル室15、ウエハ室40に
より構成し、ガス循環系は、第1室に対して、低吸収性
ガスを、その純度を所定の範囲内に維持しかつ外部から
補充しつつ供給するとともに、第1室から排気されるガ
スを回収して第2室に供給することとしても良い。
【0167】また、第1、第2室は、照明系ハウジング
2、レチクル室15、投影光学系PL、及びウエハ室4
0等に限られるものではない。例えば、第1室及び第2
室の内、少なくとも第1室は、エネルギビームの光路上
に存在する光学素子(例えばレンズ、ミラー等)の相互
間の空間等であっても良い。かかる閉空間は、例えば照
明光学系や投影光学系の内部に構成することができる。
【0168】また、これまでの説明から明らかなよう
に、第2の実施形態では、ガス純度モニタ21内のガス
センサ、温調装置24’内の流量センサと、これらの検
出値に基づいて、ガス分流装置22、ガス供給装置2
0’を制御する主制御装置70とによって、前記第2室
から排気されるガスの戻り量に応じて、特定ガスを外部
から補充しつつその純度を所定の範囲内に維持して第1
室に供給するガス循環装置が実現されている。
【0169】また、上記第1の実施形態では、第1室及
び第2室が外部に対して気密状態とされた気密室であ
り、また、第2の実施形態では閉空間が気密室である場
合について説明したが、これは、各部屋、又は閉空間に
対して外部から不純物を含む気体が混入するのを極力抑
制する観点からこのようにしたものであるが、第1室及
び第2室、並びに閉空間は必ずしも気密室である必要は
ない。
【0170】なお、上記各実施形態では、照明系ハウジ
ング2、レチクル室15、投影光学系PL、及びウエハ
室40の全てについて、低吸収性ガスをフローさせる場
合について説明したが、これに限らず、各部屋の少なく
とも一つは、初期ガス置換の終了後に、給気弁、排気弁
を閉じて、その内部を所定の目標圧力に保つようにして
も良い。この場合、主制御装置70では、給排気弁の開
閉とポンプの作動停止のタイミングなどをガスセンサの
出力に基づいて決定したりしても良い。
【0171】なお、所定の目標圧力としては、大気圧に
対し1〜10%程度高い気圧であることが望ましい。こ
れは、照明系ハウジング2、レチクル室15、投影光学
系PL、及びウエハ室40内への外気の混入(リーク)
を防止するという観点からは、内部の気圧を大気圧より
高めに設定することが望ましい反面、内部の気圧をあま
りに高く設定すると、気圧差を支えるためにそれぞれの
ハウジングを頑丈にしなければならず、重量化を招くた
めである。
【0172】なお、上記各実施形態では、光源としてF
2レーザ、Kr2レーザ、Ar2レーザ、ArFエキシマ
レーザ等の真空紫外域のパルスレーザ光源を用いるもの
としたが、これに限らず、KrFエキシマレーザ光源を
用いることは可能である。また、例えば、真空紫外光と
して上記各光源から出力されるレーザ光に限らず、DF
B半導体レーザ又はファイバーレーザから発振される赤
外域、又は可視域の単一波長レーザ光を、例えばエルビ
ウム(Er)(又はエルビウムとイッテルビウム(Y
b)の両方)がドープされたファイバーアンプで増幅
し、非線形光学結晶を用いて紫外光に波長変換した高調
波を用いても良い。
【0173】例えば、単一波長レーザの発振波長を1.
51〜1.59μmの範囲内とすると、発生波長が18
9〜199nmの範囲内である8倍高調波、又は発生波
長が151〜159nmの範囲内である10倍高調波が
出力される。特に発振波長を1.544〜1.553μ
mの範囲内とすると、発生波長が193〜194nmの
範囲内の8倍高調波、即ちArFエキシマレーザ光とほ
ぼ同一波長となる紫外光が得られ、発振波長を1.57
〜1.58μmの範囲内とすると、発生波長が157〜
158nmの範囲内の10倍高調波、即ちF2レ−ザ光
とほぼ同一波長となる紫外光が得られる。
【0174】また、発振波長を1.03〜1.12μm
の範囲内とすると、発生波長が147〜160nmの範
囲内である7倍高調波が出力され、特に発振波長を1.
099〜1.106μmの範囲内とすると、発生波長が
157〜158μmの範囲内の7倍高調波、即ちF2
ーザ光とほぼ同一波長となる紫外光が得られる。この場
合、単一波長発振レーザとしては例えばイッテルビウム
・ドープ・ファイバーレーザを用いることができる。
【0175】なお、投影光学系PLとしては、光源とし
てArFエキシマレーザ光源あるいはKrFエキシマレ
ーザ光源を用いる場合には、屈折光学素子(レンズ素
子)のみから成る屈折系が主として用いられるが、F2
レーザ光源、Ar2レーザ光源等を用いる場合には、例
えば特開平3−282527号公報及びこれに対応する
米国特許第5,220,454号などに開示されている
ような、屈折光学素子と反射光学素子(凹面鏡やビーム
スプリッタ等)とを組み合わせたいわゆるカタディオプ
トリック系(反射屈折系)、あるいは反射光学素子のみ
から成る反射光学系が主として用いられる。但し、F2
レーザ光源を用いる場合に、屈折系を用いることは可能
である。
【0176】また、投影光学系PLを構成するレンズの
素材(硝材)も使用する光源によって使い分ける必要が
ある。ArFエキシマレーザ光源あるいはKrFエキシ
マレーザ光源を用いる場合には、合成石英及びホタル石
の両方を用いても良いが、光源としてF2レーザ光源等
の真空紫外光源を用いる場合には、全てホタル石を用い
る必要がある。また、ホタル石以外に、フッ化リチウ
ム、フッ化マグネシウム、及びフッ化ストロンチウムな
どのフッ化物単結晶、リチウム−カルシウム−アルミニ
ウムの複合フッ化物結晶、リチウム−ストロンチウム−
アルミニウムの複合フッ化物結晶や、ジルコニウム−バ
リウム−ランタン−アルミニウムから成るフッ化ガラス
や、フッ素をドープした石英ガラス、フッ素に加えて水
素もドープされた石英ガラス、OH基を含有させた石英
ガラス、フッ素に加えてOH基を含有した石英ガラス等
の改良石英を用いても良い。
【0177】なお、上記各実施形態では、ステップ・ア
ンド・スキャン方式等の走査型露光装置に本発明が適用
された場合について説明したが、本発明の適用範囲がこ
れに限定されないことは勿論である。すなわちステップ
・アンド・リピート方式の縮小投影露光装置にも本発明
は好適に適用できる。
【0178】また、ウエハステージWST、レチクル走
査ステージ14Aの浮上方式として、磁気浮上でなく、
ガスフローによる浮上力を利用した方式を採用すること
も勿論できるが、かかる場合には、ステージの浮上用に
供給するガスは、前記低吸収性ガスを用いることが望ま
しい。
【0179】なお、複数のレンズから構成される照明光
学系、投影光学系を露光装置本体に組み込み、光学調整
をするとともに、多数の機械部品からなるウエハステー
ジ(スキャン型の場合はレチクルステージも)を露光装
置本体に取り付けて配線や配管を接続し、レチクル室1
5、ウエハ室40を構成する各隔壁等を組み付け、ガス
の配管系を接続し、主制御装置70等の制御系に対する
各部の接続を行い、更に総合調整(電気調整、動作確認
等)をすることにより、上記実施形態の露光装置100
等の本発明に係る露光装置を製造することができる。な
お、露光装置の製造は温度およびクリーン度等が管理さ
れたクリーンルームで行うことが望ましい。
【0180】《デバイス製造方法》次に上述した露光装
置及び露光方法をリソグラフィ工程で使用したデバイス
の製造方法の実施形態について説明する。
【0181】図5には、デバイス(ICやLSI等の半
導体チップ、液晶パネル、CCD、薄膜磁気ヘッド、マ
イクロマシン等)の製造例のフローチャートが示されて
いる。図5に示されるように、まず、ステップ201
(設計ステップ)において、デバイスの機能・性能設計
(例えば、半導体デバイスの回路設計等)を行い、その
機能を実現するためのパターン設計を行う。引き続き、
ステップ202(マスク製作ステップ)において、設計
した回路パターンを形成したマスクを製作する。一方、
ステップ203(ウエハ製造ステップ)において、シリ
コン等の材料を用いてウエハを製造する。
【0182】次に、ステップ204(ウエハ処理ステッ
プ)において、ステップ201〜ステップ203で用意
したマスクとウエハを使用して、後述するように、リソ
グラフィ技術等によってウエハ上に実際の回路等を形成
する。次いで、ステップ205(デバイス組立てステッ
プ)において、ステップ204で処理されたウエハを用
いてデバイス組立てを行う。このステップ205には、
ダイシング工程、ボンディング工程、及びパッケージン
グ工程(チップ封入)等の工程が必要に応じて含まれ
る。
【0183】最後に、ステップ206(検査ステップ)
において、ステップ205で作成されたデバイスの動作
確認テスト、耐久テスト等の検査を行う。こうした工程
を経た後にデバイスが完成し、これが出荷される。
【0184】図6には、半導体デバイスにおける、上記
ステップ204の詳細なフロー例が示されている。図6
において、ステップ211(酸化ステップ)においては
ウエハの表面を酸化させる。ステップ212(CVDス
テップ)においてはウエハ表面に絶縁膜を形成する。ス
テップ213(電極形成ステップ)においてはウエハ上
に電極を蒸着によって形成する。ステップ214(イオ
ン打ち込みステップ)においてはウエハにイオンを打ち
込む。以上のステップ211〜ステップ214それぞれ
は、ウエハ処理の各段階の前処理工程を構成しており、
各段階において必要な処理に応じて選択されて実行され
る。
【0185】ウエハプロセスの各段階において、上述の
前処理工程が終了すると、以下のようにして後処理工程
が実行される。この後処理工程では、まず、ステップ2
15(レジスト形成ステップ)において、ウエハに感光
剤を塗布する。引き続き、ステップ216(露光ステッ
プ)において、上で説明したリソグラフィシステム(露
光装置)及び露光方法によってマスクの回路パターンを
ウエハに転写する。次に、ステップ218(エッチング
ステップ)において、レジストが残存している部分以外
の部分の露出部材をエッチングにより取り去る。そし
て、ステップ219(レジスト除去ステップ)におい
て、エッチングが済んで不要となったレジストを取り除
く。
【0186】これらの前処理工程と後処理工程とを繰り
返し行うことによって、ウエハ上に多重に回路パターン
が形成される。
【0187】以上説明した本実施形態のデバイス製造方
法を用いれば、露光工程(ステップ216)において上
記各実施形態の露光装置が用いられるので、長期間に渡
り、露光光の透過率を維持して高精度な露光量制御が可
能となり、精度良くレチクルのパターンをウエハ上に転
写することができる。また、ヘリウムガス等の使用量を
抑制することができるのでランニングコストを低減でき
る。従って、デバイスの歩留まりを低下させることな
く、デバイスの生産性を向上させることができる。
【0188】
【発明の効果】以上説明したように、本発明に係る露光
装置及び露光方法によれば、露光光の透過率を維持しつ
つ、低吸収性ガスの利用効率を向上して無駄な消費を抑
制することができるという優れた効果がある。
【0189】また、本発明に係るデバイス製造方法によ
れば、デバイスの生産性を向上することができるという
効果がある。
【図面の簡単な説明】
【図1】第1の実施形態に係る露光装置の構成を概略的
に示す図である。
【図2】図1の露光装置のガス供給系の構成を簡略化し
て示す図である。
【図3】図1の露光装置の制御系の主要な構成を示すブ
ロック図である。
【図4】第2の実施形態に係る露光装置のガス循環系の
構成を簡略化して示す図である。
【図5】本発明に係るデバイス製造方法の実施形態を説
明するためのフローチャートである。
【図6】図5のステップ204における処理を示すフロ
ーチャートである。
【符号の説明】
2…照明系ハウジング(閉空間、第1室、第2室)、1
4…レチクルステージ(マスクステージ)、15…レチ
クル室(閉空間、マスク室、第1室、第2室)、21…
ガス純度モニタ(ガス純度監視装置)、22…分流装置
(ガス供給経路の一部)、23…ガス精製装置、24…
温調装置、24’…温調装置、28…回収用配管(ガス
供給経路の一部)、29…配管(ガス供給経路の一
部)、40…ウエハ室(閉空間、基板室、第1室、第2
室)、51…配管(ガス供給経路の一部)、52A…配
管(ガス供給経路の一部)、52B…配管(ガス供給経
路の一部)、100…露光装置、110…ガス供給系、
120…ガス循環系、IOP…照明光学系、EL…露光
光(エネルギビーム)、R…レチクル(マスク)、PL
…投影光学系(閉空間、第1室、第2室)、W…ウエハ
(基板)、WST…ウエハステージ(基板ステージ)。

Claims (41)

    【特許請求の範囲】
  1. 【請求項1】 エネルギビーム源からのエネルギビーム
    によりマスクを照明し、該マスクのパターンを基板に転
    写する露光装置であって、 前記エネルギビーム源と前記基板との間の前記エネルギ
    ビームの光路上に位置する少なくとも一つの閉空間と;
    前記エネルギビームが透過する特性を有する特定ガス
    を、前記閉空間のうちの任意の少なくとも一つである第
    1室内に供給し、前記第1室から排気されるガスを前記
    閉空間のうちの任意の少なくとも一つである第2室内に
    供給するガス供給系とを備える露光装置。
  2. 【請求項2】 前記第1室と前記第2室とは相互に異な
    ることを特徴とする請求項1に記載の露光装置。
  3. 【請求項3】 前記エネルギビーム源と前記基板との間
    に配置された可動の光学部材と;前記光学部材を駆動す
    る駆動系とを更に備え、 前記エネルギビーム源と前記基板との間に配置された第
    1光学素子と第2光学素子との間に形成される閉空間が
    前記第1室を構成し、 前記光学部材及び前記駆動系の少なくとも一部を収容す
    る閉空間が前記第2室を構成することを特徴とする請求
    項2に記載の露光装置。
  4. 【請求項4】 前記エネルギビーム源からの前記エネル
    ギビームにより前記マスクを照明する照明光学系と;前
    記マスクを介した前記エネルギビームを前記基板に投射
    する投影光学系とを更に備え、 前記閉空間として、前記照明光学系のハウジング、前記
    マスクを保持するマスクステージを収容するマスク室、
    前記投影光学系のハウジング、及び前記基板を保持する
    基板ステージを収容する基板室とが設けられ、 前記照明光学系のハウジング、前記投影光学系のハウジ
    ングの少なくとも一方が前記第1室を構成し、 前記マスク室と前記基板室との少なくとも一方が前記第
    2室を構成することを特徴とする請求項2に記載の露光
    装置。
  5. 【請求項5】 前記ガス供給系は、前記第1室から排気
    されるガス中の不純物の濃度を監視するとともに、前記
    不純物の濃度が所定値以上である場合には前記ガスを外
    部に排気するガス純度監視装置を更に備えることを特徴
    とする請求項1〜4のいずれか一項に記載の露光装置。
  6. 【請求項6】 前記ガス供給系は、前記第1室から排気
    されるガスを純化して該ガス中の前記特定ガスの純度を
    上げるガス精製装置を更に備えることを特徴とする請求
    項5に記載の露光装置。
  7. 【請求項7】 前記ガス供給系は、前記第1室から排気
    されるガスを分流してその一部を前記ガス精製装置に供
    給し、該ガス精製装置を通過した前記一部のガスと残り
    のガスとを合流させるガス供給経路を更に備えることを
    特徴とする請求項6に記載の露光装置。
  8. 【請求項8】 前記ガス供給系は、前記ガス供給経路を
    経由して合流された前記ガスが供給され、その供給され
    たガス中の不純物を取り除くケミカルフィルタを有し、
    前記ガスを所定温度に温度調節する温調装置を更に備え
    ることを特徴とする請求項7に記載の露光装置。
  9. 【請求項9】 前記ガス供給系は、前記ガス精製装置を
    経由したガス中の不純物を取り除くケミカルフィルタを
    有し、前記ガスを所定温度に温度調節する温調装置を更
    に備えることを特徴とする請求項6に記載の露光装置。
  10. 【請求項10】 前記ガス供給系は、前記第1室から排
    気されるガスを純化して該ガス中の前記特定ガスの純度
    を上げるガス精製装置を更に備えることを特徴とする請
    求項1〜4のいずれか一項に記載の露光装置。
  11. 【請求項11】 前記ガス供給系は、前記第1室から排
    気されるガスを分流してその一部を前記ガス精製装置に
    供給し、該ガス精製装置を通過した前記一部のガスと残
    りのガスとを合流させるガス供給経路を更に備えること
    を特徴とする請求項10に記載の露光装置。
  12. 【請求項12】 前記ガス供給系は、前記ガス供給経路
    を経由して合流された前記ガスが供給され、その供給さ
    れたガス中の不純物を取り除くケミカルフィルタを有
    し、前記ガスを所定温度に温度調節する温調装置を更に
    備えることを特徴とする請求項11に記載の露光装置。
  13. 【請求項13】 前記ガス供給系は、前記第1室から排
    気されるガス中の不純物を取り除くケミカルフィルタを
    有し、前記ガスを所定温度に温度調節する温調装置を更
    に備えることを特徴とする請求項1〜4のいずれか一項
    に記載の露光装置。
  14. 【請求項14】 前記第1室及び前記第2室は、外部に
    対して気密状態とされた気密室であることを特徴とする
    請求項1〜13のいずれか一項に記載の露光装置。
  15. 【請求項15】 前記第1室と前記第2室とは同一であ
    ることを特徴とする請求項1に記載の露光装置。
  16. 【請求項16】 前記ガス供給系は、前記第1室に対し
    て、前記特定ガスを、その純度を所定の範囲内に維持し
    かつ外部から補充しつつ供給するとともに、前記第1室
    から排気されるガスを回収して前記第2室に供給するガ
    ス循環系であることを特徴とする請求項1に記載の露光
    装置。
  17. 【請求項17】 前記第1室と前記第2室とは相互に異
    なることを特徴とする請求項16に記載の露光装置。
  18. 【請求項18】 前記第1室と前記第2室とは同一であ
    ることを特徴とする請求項16に記載の露光装置。
  19. 【請求項19】 前記エネルギビーム源からの前記エネ
    ルギビームにより前記マスクを照明する照明光学系と;
    前記マスクを介した前記エネルギビームを前記基板に投
    射する投影光学系とを更に備え、 前記閉空間として、前記照明光学系のハウジング、前記
    マスクを保持するマスクステージを収容するマスク室、
    前記投影光学系のハウジング、及び前記基板を保持する
    基板ステージを収容する基板室とが設けられ、 前記第1室及び前記第2室は、前記マスク室、前記基板
    室、前記照明光学系のハウジング、及び前記投影光学系
    のハウジングのいずれかによって形成されていることを
    特徴とする請求項16〜18のいずれか一項に記載の露
    光装置。
  20. 【請求項20】 前記ガス循環系は、前記第2室から排
    気されるガスの戻り量に応じて、前記特定ガスを外部か
    ら補充しつつその純度を所定の範囲内に維持して前記第
    1室に供給するガス循環装置を備えることを特徴とする
    請求項16〜19のいずれか一項に記載の露光装置。
  21. 【請求項21】 前記ガス循環系は、前記第1室内のガ
    スを前記特定ガスに置換する初期ガス置換作業時に、前
    記第1室から排気されるガス中の不純物が所定の濃度未
    満となるまでの間は、前記ガスを回収することなく外部
    に排気することを特徴とする請求項16〜20のいずれ
    か一項に記載の露光装置。
  22. 【請求項22】 前記ガス循環系は、前記初期ガス置換
    作業時に、当該初期ガス置換作業の開始から所定時間の
    経過により前記ガス中の不純物が所定の濃度未満となっ
    たと判断する判断装置を含むことを特徴とする請求項2
    1に記載の露光装置。
  23. 【請求項23】 前記ガス循環系は、前記ガス中の不純
    物の濃度及び前記特定ガスの濃度の少なくとも一方を検
    出する濃度検出器を有し、前記初期ガス置換作業時に、
    前記濃度検出器の出力に基づいて前記ガス中の不純物が
    所定の濃度未満となったと判断する判断装置を含むこと
    を特徴とする請求項21に記載の露光装置。
  24. 【請求項24】 前記ガス循環系は、前記第1室から排
    気されるガス中の不純物の濃度を監視するとともに、前
    記不純物の濃度が所定値以上である場合には前記ガスを
    外部に排気するガス純度監視装置を更に備えることを特
    徴とする請求項16〜19のいずれか一項に記載の露光
    装置。
  25. 【請求項25】 前記ガス循環系は、前記第1室から回
    収されるガスを純化して該ガス中の前記特定ガスの純度
    を上げるガス精製装置を更に備えることを特徴とする請
    求項24に記載の露光装置。
  26. 【請求項26】 前記ガス循環系は、前記ガス精製装置
    を経由したガス中の不純物を取り除くケミカルフィルタ
    を有し、前記ガスを所定温度に温度調節する温調装置を
    更に備えることを特徴とする請求項25に記載の露光装
    置。
  27. 【請求項27】 前記ガス循環系は、前記第1室から回
    収されるガスを分流してその一部を前記ガス精製装置に
    供給し、該ガス精製装置を通過した前記一部のガスと残
    りのガスとを合流させるガス供給経路を更に備えること
    を特徴とする請求項25に記載の露光装置。
  28. 【請求項28】 前記ガス循環系は、前記ガス供給経路
    を経由して合流された前記ガスが供給され、その供給さ
    れたガス中の不純物を取り除くケミカルフィルタを有
    し、前記ガスを所定温度に温度調節する温調装置を更に
    備えることを特徴とする請求項27に記載の露光装置。
  29. 【請求項29】 前記ガス循環系は、前記第1室から回
    収されるガスを純化して該ガス中の前記特定ガスの純度
    を上げるガス精製装置を更に備えることを特徴とする請
    求項16〜19のいずれか一項に記載の露光装置。
  30. 【請求項30】 前記ガス循環系は、前記第1室から回
    収されるガスを分流してその一部を前記ガス精製装置に
    供給し、該ガス精製装置を通過した前記一部のガスと残
    りのガスとを合流させるガス供給経路を更に備えること
    を特徴とする請求項29に記載の露光装置。
  31. 【請求項31】 前記ガス循環系は、前記ガス供給経路
    を経由して合流された前記ガスが供給され、その供給さ
    れたガス中の不純物を取り除くケミカルフィルタを有
    し、前記ガスを所定温度に温度調節する温調装置を更に
    備えることを特徴とする請求項30に記載の露光装置。
  32. 【請求項32】 前記ガス循環系は、前記第1室から回
    収されるガス中の不純物を取り除くケミカルフィルタを
    有し、前記ガスを所定温度に温度調節する温調装置を更
    に備えることを特徴とする請求項16〜19のいずれか
    一項に記載の露光装置。
  33. 【請求項33】 前記第1室及び前記第2室は、外部に
    対して気密状態とされた気密室であることを特徴とする
    請求項16〜32のいずれか一項に記載の露光装置。
  34. 【請求項34】 リソグラフィ工程を含むデバイス製造
    方法であって、前記リソグラフィ工程では、請求項1〜
    33のいずれか一項に記載の露光装置を用いて露光を行
    うことを特徴とするデバイス製造方法。
  35. 【請求項35】 エネルギビーム源からのエネルギビー
    ムによりマスクを照明し、該マスクのパターンを基板に
    転写する露光方法であって、前記エネルギビーム源と前
    記基板との間の前記エネルギビームの光路上に位置する
    少なくとも一つの閉空間のうちの任意の少なくとも一つ
    である第1室に、前記エネルギビームが透過する特性を
    有する特定ガスを供給し、 前記第1室から内部ガスを排気し、 前記第1室から排気されるガスを前記閉空間のうちの任
    意の少なくとも一つである第2室内に供給することを特
    徴とする露光方法。
  36. 【請求項36】 前記第1室と前記第2室とは相互に異
    なることを特徴とする請求項35に記載の露光方法。
  37. 【請求項37】 前記第1室は、前記エネルギビーム源
    と前記基板との間に配置された第1光学素子と第2光学
    素子との間に形成される閉空間であり、 前記第2室は、前記エネルギビーム源と前記基板との間
    に配置された可動の光学部材及び該可動の光学部材を駆
    動する駆動系の少なくとも一部を収容する閉空間である
    ことを特徴とする請求項36に記載の露光方法。
  38. 【請求項38】 前記第1室は、前記エネルギビーム源
    からの前記エネルギビームにより前記マスクを照明する
    照明光学系、前記マスクを介した前記エネルギビームを
    前記基板に投射する投影光学系の少なくとも一方を収容
    するハウジングであり、 前記第2室は、前記マスクを保持するマスクステージを
    収容するマスク室、前記基板を保持する基板ステージを
    収容する基板室の少なくとも一方であることを特徴とす
    る請求項36に記載の露光方法。
  39. 【請求項39】 前記第1室から排気されるガス中の不
    純物の濃度を監視するとともに、前記不純物の濃度が所
    定値以上である場合には前記ガスを外部に排気すること
    を特徴とする請求項35〜38のいずれか一項に記載の
    露光方法。
  40. 【請求項40】 前記第1室から排気されるガスを純化
    して該ガス中の前記特定ガスの純度を上げることを特徴
    とする請求項35〜39のいずれか一項に記載の露光方
    法。
  41. 【請求項41】 前記第1室に対して、前記特定ガス
    を、その純度を所定の範囲内に維持し、かつ外部から補
    充しつつ供給するとともに、前記第1室から排気される
    ガスを回収して前記第2室に供給することを特徴とする
    請求項35〜38のいずれか一項に記載の露光方法。
JP2001089089A 2000-03-31 2001-03-27 露光装置及び露光方法、並びにデバイス製造方法 Pending JP2001345263A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001089089A JP2001345263A (ja) 2000-03-31 2001-03-27 露光装置及び露光方法、並びにデバイス製造方法
TW090107465A TW490734B (en) 2000-03-31 2001-03-29 Exposure apparatus, exposure method, and device manufacturing method
KR1020010016780A KR20010095138A (ko) 2000-03-31 2001-03-30 노광장치와 노광방법, 및 디바이스 제조방법
US09/820,932 US6633364B2 (en) 2000-03-31 2001-03-30 Exposure apparatus, exposure method, and device manufacturing method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000-98018 2000-03-31
JP2000098018 2000-03-31
JP2001089089A JP2001345263A (ja) 2000-03-31 2001-03-27 露光装置及び露光方法、並びにデバイス製造方法

Publications (1)

Publication Number Publication Date
JP2001345263A true JP2001345263A (ja) 2001-12-14

Family

ID=26589156

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001089089A Pending JP2001345263A (ja) 2000-03-31 2001-03-27 露光装置及び露光方法、並びにデバイス製造方法

Country Status (4)

Country Link
US (1) US6633364B2 (ja)
JP (1) JP2001345263A (ja)
KR (1) KR20010095138A (ja)
TW (1) TW490734B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068629A (ja) * 2001-08-29 2003-03-07 Kyocera Corp 露光装置
JP2003068630A (ja) * 2001-08-29 2003-03-07 Kyocera Corp 露光装置
WO2005081292A1 (ja) * 2004-02-20 2005-09-01 Nikon Corporation 露光装置、供給方法及び回収方法、露光方法、ならびにデバイス製造方法
JP2006222130A (ja) * 2005-02-08 2006-08-24 Nsk Ltd 露光装置
KR100772158B1 (ko) * 2005-03-29 2007-10-31 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 디바이스 제조방법 및 이에 의해 제조된디바이스

Families Citing this family (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413131B2 (ja) * 1999-10-04 2003-06-03 キヤノン株式会社 光学装置及びデバイス製造方法
WO2002039491A1 (fr) * 2000-11-10 2002-05-16 Nikon Corporation Dispositif optique, dispositif d'exposition et leurs procedes de fabrication
DE10109031A1 (de) * 2001-02-24 2002-09-05 Zeiss Carl Optisches Strahlführungssystem und Verfahren zur Kontaminationsverhinderung optischer Komponenten hiervon
JP2002328306A (ja) * 2001-04-27 2002-11-15 Nikon Corp 紫外線顕微鏡およびこれを用いた観察方法
JP3879495B2 (ja) * 2001-11-28 2007-02-14 株式会社ニコン 紫外線顕微鏡
JP4006235B2 (ja) * 2002-02-05 2007-11-14 キヤノン株式会社 不活性ガス置換方法及び装置、レチクル保管庫、レチクル検査装置、レチクル搬送ボックス、デバイスの製造方法
JP4258840B2 (ja) * 2002-04-22 2009-04-30 株式会社ニコン 支持装置、光学装置及び露光装置、並びにデバイス製造方法
JP4174239B2 (ja) * 2002-05-27 2008-10-29 キヤノン株式会社 ガス供給装置、露光システムおよびデバイス製造方法
US6770895B2 (en) * 2002-11-21 2004-08-03 Asml Holding N.V. Method and apparatus for isolating light source gas from main chamber gas in a lithography tool
AU2003289239A1 (en) * 2002-12-10 2004-06-30 Nikon Corporation Exposure system and device producing method
JP4343559B2 (ja) * 2003-03-07 2009-10-14 キヤノン株式会社 収差測定装置
US6919573B2 (en) * 2003-03-20 2005-07-19 Asml Holding N.V Method and apparatus for recycling gases used in a lithography tool
US7144802B2 (en) * 2003-04-01 2006-12-05 Texas Instruments Incorporated Vapor deposition of benzotriazole (BTA) for protecting copper interconnects
EP1503243A1 (en) * 2003-07-31 2005-02-02 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
IL158344A (en) * 2003-10-09 2011-06-30 Nova Measuring Instr Ltd System and method for optical measurements by UV vacuum
EP1531362A3 (en) * 2003-11-13 2007-07-25 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus and pattern formation method
JP3839017B2 (ja) * 2003-11-27 2006-11-01 ファナック株式会社 レーザ加工装置
JP2005166897A (ja) * 2003-12-02 2005-06-23 Canon Inc 露光装置
US7184123B2 (en) * 2004-03-24 2007-02-27 Asml Netherlands B.V. Lithographic optical system
JP4418724B2 (ja) * 2004-09-17 2010-02-24 キヤノン株式会社 露光装置
US7362413B2 (en) * 2004-12-09 2008-04-22 Asml Netherlands B.V. Uniformity correction for lithographic apparatus
DE102005033408A1 (de) * 2005-07-18 2006-08-31 Carl Zeiss Smt Ag Verfahren und Vorrichtung zum Spülen eines Innenraumes einer optischen Einheit
KR20080065609A (ko) * 2005-09-13 2008-07-14 칼 짜이스 에스엠테 아게 마이크로리소그래픽 투사 노광 장치에서 광학 결상 특성을설정하는 방법 및 이러한 타입의 투사 노광 장치
JP5305568B2 (ja) * 2006-05-22 2013-10-02 株式会社東芝 露光装置及びケミカルフィルタ寿命検知方法
US20070298167A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Ozone abatement in a re-circulating cooling system
JP4435201B2 (ja) * 2007-04-20 2010-03-17 キヤノン株式会社 温調システムの調整方法
US8964166B2 (en) * 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
NL1036567A1 (nl) * 2008-03-28 2009-09-29 Asml Netherlands Bv A lithographic apparatus and a vacuum chamber.
JP4686572B2 (ja) * 2008-05-14 2011-05-25 住友重機械工業株式会社 クライオポンプ、真空排気システム、及びその診断方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6333786B2 (ja) 2015-09-17 2018-05-30 ファナック株式会社 レーザ光路の清浄化機能を有するレーザ加工システム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
FR3044777A1 (fr) * 2015-12-07 2017-06-09 Centre Nat Rech Scient Systeme de reglage d'un dispositif optique de focalisation dans une enceinte cryostatique
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111727533A (zh) 2018-02-15 2020-09-29 西默有限公司 气体管理系统
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
WO2019160548A1 (en) * 2018-02-15 2019-08-22 Cymer, Llc Gas management system
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7058177B2 (ja) * 2018-05-22 2022-04-21 東京エレクトロン株式会社 基板処理装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
CN110802081A (zh) * 2018-08-06 2020-02-18 长鑫存储技术有限公司 光罩运输方法及设备
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11106140B2 (en) 2019-07-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5430303A (en) * 1992-07-01 1995-07-04 Nikon Corporation Exposure apparatus
US5559584A (en) * 1993-03-08 1996-09-24 Nikon Corporation Exposure apparatus
EP1026549B1 (en) * 1994-04-08 2007-02-28 Canon Kabushiki Kaisha Processing system adapted for semiconductor device manufacture
JPH09270385A (ja) * 1996-03-29 1997-10-14 Nikon Corp 露光装置の環境制御装置
AU7552498A (en) * 1997-06-10 1998-12-30 Nikon Corporation Optical device, method of cleaning the same, projection aligner, and method of producing the same
WO1999025010A1 (fr) 1997-11-12 1999-05-20 Nikon Corporation Appareil d'exposition, appareil de fabrication de composants, et procede de fabrication d'appareils d'exposition
JPH11224839A (ja) * 1998-02-04 1999-08-17 Canon Inc 露光装置とデバイス製造方法、ならびに該露光装置の光学素子クリーニング方法
JP3387809B2 (ja) * 1998-02-18 2003-03-17 キヤノン株式会社 露光装置及びデバイス製造方法
WO2000022656A1 (en) 1998-10-13 2000-04-20 Nikon Corporation Exposure system

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068629A (ja) * 2001-08-29 2003-03-07 Kyocera Corp 露光装置
JP2003068630A (ja) * 2001-08-29 2003-03-07 Kyocera Corp 露光装置
JP4721575B2 (ja) * 2001-08-29 2011-07-13 京セラ株式会社 露光装置
WO2005081292A1 (ja) * 2004-02-20 2005-09-01 Nikon Corporation 露光装置、供給方法及び回収方法、露光方法、ならびにデバイス製造方法
US8023100B2 (en) 2004-02-20 2011-09-20 Nikon Corporation Exposure apparatus, supply method and recovery method, exposure method, and device producing method
JP5076497B2 (ja) * 2004-02-20 2012-11-21 株式会社ニコン 露光装置、液体の供給方法及び回収方法、露光方法、並びにデバイス製造方法
JP2006222130A (ja) * 2005-02-08 2006-08-24 Nsk Ltd 露光装置
KR100772158B1 (ko) * 2005-03-29 2007-10-31 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 디바이스 제조방법 및 이에 의해 제조된디바이스

Also Published As

Publication number Publication date
KR20010095138A (ko) 2001-11-03
US20010055101A1 (en) 2001-12-27
US6633364B2 (en) 2003-10-14
TW490734B (en) 2002-06-11

Similar Documents

Publication Publication Date Title
JP2001345263A (ja) 露光装置及び露光方法、並びにデバイス製造方法
US6614504B2 (en) Exposure apparatus, exposure method, and device manufacturing method
KR101342303B1 (ko) 노광 장치 및 그 부재의 세정 방법, 노광 장치의 메인터넌스 방법, 메인터넌스 기기, 그리고 디바이스 제조 방법
US20020145711A1 (en) Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
JPWO2003085708A1 (ja) 露光方法及び露光装置、並びにデバイス製造方法
JP2001118783A (ja) 露光方法及び装置、並びにデバイス製造方法
WO2000055891A1 (fr) Dispositif pour exposition, procede d'exposition et procede de fabrication d'un tel dispositif
US7866637B2 (en) Humidifying apparatus, lithographic apparatus and humidifying method
US6707529B1 (en) Exposure method and apparatus
JP2006080194A (ja) 温調装置、露光装置、並びにデバイス製造方法
KR20020036951A (ko) 노광방법 및 장치
JP2005129898A (ja) 露光装置およびデバイス製造方法
JPH11219902A (ja) 露光装置及びデバイス製造装置
TW439114B (en) Exposure device
JP4466042B2 (ja) 温調装置及び温調方法、露光装置、並びにデバイス製造方法
JP2006173295A (ja) 液浸型露光装置及び液浸型露光方法
JP2003163159A (ja) パージガスの供給方法及び露光装置並びにデバイスの製造方法
JP2003257822A (ja) 光学装置及び露光装置
JP2001345264A (ja) 露光装置及び露光方法並びにデバイスの製造方法
JP2005079294A (ja) 露光装置、露光システム、及びデバイス製造方法
JP2003257821A (ja) 光学装置及び露光装置
JP2004095654A (ja) 露光装置及びデバイス製造方法
JP2005166922A (ja) 支持装置、光学装置、露光装置、及びデバイスの製造方法
JP2002260998A (ja) 露光方法及び露光装置並びにデバイスの製造方法
JP2001176770A (ja) 露光装置