CN103189543A - 用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件 - Google Patents

用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件 Download PDF

Info

Publication number
CN103189543A
CN103189543A CN2011800530407A CN201180053040A CN103189543A CN 103189543 A CN103189543 A CN 103189543A CN 2011800530407 A CN2011800530407 A CN 2011800530407A CN 201180053040 A CN201180053040 A CN 201180053040A CN 103189543 A CN103189543 A CN 103189543A
Authority
CN
China
Prior art keywords
reactor
injector
chamber
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800530407A
Other languages
English (en)
Inventor
李相忍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synos Technology Inc
Original Assignee
Synos Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synos Technology Inc filed Critical Synos Technology Inc
Publication of CN103189543A publication Critical patent/CN103189543A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)

Abstract

一种在用于在大衬底上执行原子层沉积(ALD)的沉积设备中的伸长反应器组件。伸长反应器组件包括一个或者多个注入器和/或游离基反应器。每个注入器或者游离基反应器在衬底穿过注入器或者游离基反应器时向衬底上注入气体或者游离基作为ALD工艺的部分。每个注入器或者游离基反应器包括多个分段,其中至少两个分段具有不同截面配置。通过在注入器或者游离基反应器中提供不同分段,注入器或者游离基反应器可以在衬底之上更均匀注入其它或者游离基。每个注入器或者游离基反应器可以包括用于在沉积设备以外排出过量气体或者游离基的多于一个出口。

Description

用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件
技术领域
本发明涉及一种用于使用原子层沉积(ALD)在衬底上沉积一个或者多个材料层的沉积装置。
背景技术
原子层沉积(ALD)是用于在衬底上沉积一个或者多个材料层的薄膜沉积技术。ALD使用两种类型的化学物,一种是源前体而另一种是反应物前体。一般而言,ALD包括四个阶段:(i)注入源前体,(ii)去除源前体的物理吸收层,(iii)注入反应物前体以及(iv)去除反应物前体的物理吸收层。ALD可以是在可以获得所需厚度的层之前可能需要延长的时间量或者许多重复的缓慢过程。因此,为了加速过程,如在公开号为2009/0165715的美国专利申请中描述的具有单元模块的蒸汽沉积反应器(所谓的线性注入器)或者其它相似设备可以用来加速ALD工艺。单元模块包括用于源材料的注入单元和排放单元(源模块)以及用于反应物的注入单元和排放单元(反应物模块)。
常规ALD蒸汽沉积室具有用于在衬底上沉积ALD层的一组或者多组反应器。由于衬底在反应器以下穿过,所以衬底暴露于源前体、吹扫气体和反应物前体。在衬底上沉积的源前体分子与反应物前体分子反应,或者源前体分子替换为反应物前体分子以在衬底上沉积材料层。在使衬底暴露于源前体或者反应物前体之后,衬底可以暴露于吹扫气体以从衬底去除过量源前体分子或者反应物前体分子。
发明内容
实施例涉及一种在反应器组件中的游离基(radical)反应器,该游离基反应器包括与衬底被装配于其上的基座相邻放置的本体。本体形成有沿着游离基反应器的长度延伸第一距离的第一反应器分段中的第一等离子体室和沿着游离基反应器的长度延伸第二距离的第二反应器分段中的第二等离子体室。第一内电极在第一等离子体室内延伸。第一内电极通过跨第一内电极和第一外电极施加电压差在第一等离子体室内生成第一气体的游离基。第二内电极在第二等离子体室内延伸。第二内电极通过跨第二内电极和第二外电极施加电压差在第二等离子体室内生成第一气体的游离基。
在一个实施例中,本体还形成有注入室、收缩区和至少一个出口。注入室连接到第一等离子体室和第二等离子体室以接收游离基。从注入室向衬底上注入游离基。收缩区具有比注入室的高度更低的高度。至少一个出口连接到收缩区。至少一个出口从反应器组件排出游离基。
在一个实施例中,第一等离子体室形成于注入室的一侧,并且第二等离子体室形成于注入室的另一侧。
在一个实施例中,本体还形成有第一反应器分段中的第一反应器通道和第二反应器分段中的第二反应器通道。第一反应器通道经由第一管道连接到气体源,并且第二反应器通道经由与第一管道分开的第二管道连接到气体源。
在一个实施例中,本体还形成有用于从反应器组件排出游离基的至少两个出口。至少两个出口的内表面在两个出口之间接合。
在一个实施例中,反应器组件还包括注入器,该注入器形成有第一注入器通道、第二注入器通道、室和收缩区。第一注入器通道放置于注入器的第一注入器分段中用于经由第一管道接收第二气体。第二注入器通道放置于注入器的第二注入器分段中经由第二管道接收第二气体。室连接到用于接收气体并且向衬底上注入气体的第一注入器通道和第二注入器通道、用于从反应器组件排出气体的至少一个出口、以及将室连接到至少一个出口的收缩区。收缩区具有比注入室的高度更低的高度。
在一个实施例中,第一注入器通道形成于注入器室的一侧,并且第二注入器通道形成于室的相反侧。
在一个实施例中,反应器组件的有效长度大于衬底的宽度。
在一个实施例中,第一内电极包括芯和外层。芯由第一材料制成,该第一材料具有与外层的第二材料相比的更高传导率。
在一个实施例中,第一材料包括铜、银或者其合金;并且第二材料包括不锈钢、基于奥氏体镍-铬的超合金或者镍钢合金。
实施例也涉及一种用于使用原子层沉积(ALD)在衬底上沉积一个或者多个材料层的沉积装置。该沉积装置包括基座、游离基反应器和致动器。基座装配有衬底。游离基反应器包括与基座相邻放置的本体。本体形成有游离基反应器的纵向延伸第一距离的第一反应器分段中的第一等离子体室和纵向延伸第二距离的第二反应器分段中的第二等离子体室。第一内电极在第一等离子体室内延伸。第一内电极通过跨第一内电极和第一外电极施加电压差在第一等离子体室内生成第一气体的游离基。第二内电极在第二等离子体室内延伸。第二内电极通过跨第二内电极和第二外电极施加电压差在第二等离子体室内生成第一气体的游离基。致动器引起在基座与游离基反应器之间的相对移动。
附图说明
图1是根据一个实施例的线性沉积设备的截面图。
图2是根据一个实施例的线性沉积设备的透视图。
图3是根据一个实施例的旋转沉积设备的透视图。
图4是根据一个实施例的反应器组件的透视图。
图5是根据一个实施例的反应器组件的俯视图。
图6是根据一个实施例的沿着图4的线A-A’或者线B-B’截取的反应器组件的截面图。
图7是根据一个实施例的沿着图5的线C-C’截取的反应器组件的截面图。
图8是根据一个实施例的沿着图5的线D-D’截取的反应器组件的截面图。
图9是根据一个实施例的沿着图5的线E-E’截取的反应器组件的截面图。
图10是根据另一实施例的反应器组件的俯视图。
图11是图示根据一个实施例的内电极的图。
具体实施方式
这里参照附图描述实施例。然而可以用许多不同形式实现这里公开的原理并且不应解释这些原理为限于这里阐述的实施例。在描述中可以省略众所周知的特征和技术的细节以免不必要地模糊实施例的特征。
在附图中,附图中的相似标号表示相似元件。为了清楚,可以夸大附图的形状、尺寸和区域等。
实施例涉及一种用于在宽衬底上执行原子层沉积(ALD)的沉积设备中的伸长反应器组件。伸长反应器组件包括一个或者多个注入器和/或游离基反应器。作为ALD工艺的一部分,每个注入器或者游离基反应器在衬底穿过注入器或者游离基反应器时向衬底上注入气体或者游离基。每个注入器或者游离基反应器包括多个分段,其中至少两个分段具有不同截面配置。不同分段经由不同管道(例如导管)接收气体。通过在注入器或者游离基反应器中提供不同分段,注入器或者游离基反应器可以在衬底之上更均匀注入气体或者游离基。每个注入器或者游离基反应器可以包括用于在沉积设备以外排出过量气体或者游离基的多于一个出口。
图1是根据一个实施例的线性沉积设备100的截面图。图2是图1的线性沉积设备100(无室壁110以便于说明)的透视图。线性沉积设备100可以包括支撑柱118、处理室110和反应器组件136以及其它部件。反应器组件136可以包括注入器和游离基反应器中的一个或者多个。每个注入器模块向衬底120上注入源前体、反应物前体、吹扫气体或者这些材料的组合。游离基可以充当源前体、反应物前体或者用于处理衬底120的表面的材料。
可以在真空状态中维持壁110包围的处理室以防止污染物影响沉积工艺。处理室包含接收衬底120的基座128。基座128放置于用于滑动移动的支撑板124上。支撑板124可以包括用于控制衬底120的温度的温度控制器(例如加热器或者冷却器)。线性沉积设备100也可以包括有助于向基座128上加载衬底120或者从基座128卸装衬底120的升降销(lift pin)(未示出)。
在一个实施例中,基座128固着至托架210,托架210沿具有形成于其上的螺杆的延伸棒138移动。托架210具有在它们的接收延伸棒138的孔中形成的对应螺杆。延伸棒138固着到马达114的主轴,因此延伸棒138在马达114的主轴旋转时旋转。延伸棒138的旋转使托架210(并且因此使基座128)在支撑板124上产生线性移动。通过控制马达114的速度和旋转方向,可以控制基座128的线性移动的速度和方向。马达114和延伸棒138的使用仅为用于移动基座128的机制的例子。可以使用移动基座128的各种其它方式(例如在基座128的底部、顶部或者侧部上使用齿轮和小齿轮)。另外,基座128可以保持静止并且可以移动反应器组件136而不是移动基座128。
图3是根据一个实施例的旋转沉积设备300的透视图。根据另一实施例,旋转沉积设备300可以用来执行沉积工艺而不是使用图1的线性沉积设备100。旋转沉积设备300可以包括反应器320、334、364、368(这里统称为“反应器组件”)、基座318和包围这些部件的容器324以及其它部件。基座318适当地固着衬底314。反应器组件放置于衬底314和基座314上方。基座318或者反应器组件旋转以使衬底314受到不同工艺。
反应器320、334、364、368中的一个或者多个经由入口330连接到气体导管以接收源前体、反应器前体、吹扫气体和/或其它材料。气体导管提供的材料可以(i)由反应器320、334、364、368直接、(ii)在反应器320、334、364、368以内的室中混合之后或者(iii)在由反应器320、334、364、368内生成的等离子体转换成游离基之后向衬底314上注入。在向衬底314上注入材料之后,可以经过出口330排放多余材料。
可以在沉积设备(诸如线性沉积设备100、旋转沉积设备300或者其它类型的沉积设备)中使用这里描述的反应器组件的实施例。图4是反应器组件136的例子,该反应器组件包括串接放置的注入器402和游离基反应器404。伸长注入器402和游离基反应器404二者以覆盖衬底120的宽度。装配有衬底120的基座128在两个方向(即图4中的右和左方向)上往复以使衬底120暴露于注入器402和游离基反应器404注入的气体和/或游离基。虽然在图4中仅图示一个注入器402和一个游离基反应器404,但是可以在线性沉积设备100中提供多得多的注入器和/或游离基反应器。也有可能在线性沉积设备100中仅提供游离基反应器402或者注入器404。
注入器402经过导管(例如导管424和图5中所示导管512)接收气体,并且在基座128在注入器424下方移动时向衬底120上注入气体。注入的气体可以是源气体、反应物气体、吹扫气体或者其组合。在向衬底120上注入之后,经由出口410、412排出注入器402中的过量气体。出口410、412连接到导管(未示出)以在线性沉积设备100以外排出过量气体。如下文参照图5具体描述的那样,注入器402包括具有不同截面配置并且连接到不同注入导管的两个分段。通过提供两个出口410、412,可以更有效去除注入器402中的过量气体。
游离基反应器404经由导管(未示出)接收气体并且具有两个分段和分离的内电极,这些分段具有不同截面配置。通道形成于游离基反应器404的本体中以向等离子体室输送接收的气体。两个内电极跨游离基反应器404延伸近似一半并且经由接线432连接到电压源(未示出)或者接地(未示出)。如下文参照图8和图9具体描述的那样,内电极放置于等离子体室以内。游离基反应器404中的外电极连接到接地或者电压源。在一个实施例中,游离基反应器404的传导本体充当外电极。出口416、420形成于游离基反应器404的本体中以排出过量游离基和/或其它(在从沉积设备100向衬底120上注入期间、之前或者之后恢复至不活跃状态)。出口416、420连接到导管(未示出)以在线性沉积设备100以外排放过量游离基和/或气体。通过提供两个出口416、420,尽管游离基反应器404的长度较长,但仍然可以更有效去除游离基反应器404中的过量气体。
如图4中所示,反应器组件的有效长度L2比衬底120的宽度长W1+W2。有效长度L2是指跨如下反应器组件的长度,在该反应器组件中用预定义质量水平对衬底120执行ALD处理。可以表示预定义质量水平为衬底上沉积的层的特性或者性质。由于在反应器组件的侧边缘未以均匀和一致方式执行沉积,所以有效长度往往比反应器组件的实际长度L1更短。在一个实施例中,衬底具有500mm或者更多的宽度。
图5是根据一个实施例的反应器组件(即注入器402和游离基反应器404)的俯视图。注入器402具有两个注入器分段501、503,这些注入器分段具有不同截面配置。注入器402在注入器分段501中的本体602(见图6)形成有连接到导管512用于从气体源接收气体的通道516。通道516经由孔532连接到注入器室513以接收气体。类似地,注入器402的分段503形成有连接到导管424用于从气体源接收气体(经由导管512供应的相同气体)的通道522。通道522经由孔533连接到注入器室513。下文参照图8和图9具体描述通道516、522、孔532、533和注入器室513的连接关系。通过经由多个导管和通道向注入器室513中提供气体,可以遍及注入器室513在注入器室513中均匀分布气体。
类似地,游离基反应器404具有两个反应器分段505、507,这些反应器分段具有不同截面配置。游离基反应器404的本体606(见图6)形成有连接到导管714A、714B(见图7)用于从气体源接收气体的通道510、518。通道510连接到也形成于本体606的反应器分段505中的等离子体室(由图7和图8中的标号718指示)。内电极504在等离子体室718内跨游离基反应器404的长度延伸近似一半以与外电极(由图8中的标号820指示)结合在跨电极504、820施加电压差时在等离子体室718内生成等离子体。通道518连接到形成于本体606的反应器分段507中的等离子体室(由图7和图9中的标号720指示)。内电极432在等离子体室720内跨游离基反应器404的长度延伸近似一半以与外电极(由图9中的标号904指示)结合在跨电极432、904施加电压差时在等离子体室720内生成等离子体。通过在游离基反应器404的本体606中提供两个分开的等离子体室828、720,可以跨游离基反应器404的长度更均匀生成气体的游离基。
图6是根据一个实施例的沿着图4的线A-A’或者B-B’截取的注入器402或者游离基反应器404的截面图。注入器404具有本体602,该本体具有形成于其上的出口410、412。出口410、412是与本体602的下中心分段邻接的腔。出口410、412的底部部分618基本上跨注入器402的长度延伸,而出口410、412的上部分612、614较小以用于连接到排出导管。出口410和412具有通过在游离基反应器404的中下部分形成弯曲来平滑接合的轮廓内表面640、644。
至于游离基反应器404,游离基反应器404具有本体606,该本体具有形成于其上的出口416、420。出口416、420是在本体606的中心分段邻接的腔。出口416、420的底部部分618基本上跨游离基反应器404的长度延伸,而出口416、420的上部分612、614较小以用于连接到排出导管。出口416和420具有在游离基反应器404的中间周围平滑接合的轮廓内表面642、644。
随着注入器402或者游离基反应器404的长度增加,可以减少在注入器402或者游离基反应器404内的真空传导率。真空传导率的减少造成排出在注入器402或者游离基反应器404中剩余的气体或者游离基的效率减少。通过提供多个出口,可以增强真空传导率。这有助于气体或者游离基从注入器402或者游离基反应器404的更高效排出。
虽然在注入器402和游离基反应器404中仅示出两个出口,但是可以根据注入器402或者游离基反应器404的长度在注入器402和游离基反应器404中形成多于两个出口。
图7是根据一个实施例的沿着图5的线C-C’截取的反应器组件中的游离基反应器404的截面图。游离基反应器404具有两个内电极428、504,每个内电极跨游离基反应器404的长度延伸近似一半。内电极428放置于等离子体室720中并且由端帽702和保持器(未示出)固着。类似地,内电极504放置于等离子体室718中并且也固着到端帽722和保持器710。端帽702、722和保持器(例如保持器710)由绝缘材料(诸如陶瓷)制成以防止在游离基反应器404的内电极428、504与本体606之间短接。构造保持器(例如保持器710)以在允许内电极428、504的热膨胀之时保持内电极428、504。端帽702、722由螺杆固着到游离基反应器404的本体606。接线432、730将内电极432、504的末端706、726连接到电压源。
在游离基反应器404的操作期间,经由导管714A、714B向通道510、518中注入气体。气体经由孔540、544流入等离子体室718、720。在等离子体室718、720中生成等离子体从而产生气体的游离基。然后经由缝734、738向形成于游离基反应器404的底部部分上的注入室560中注入游离基。
图8是根据一个实施例的在注入器分段501、505沿着图5的线D-D’截取的反应器组件的截面图。在图8的实施例中,沿着平面F-F”对准通道514和孔532。平面F-F”在相对于竖直平面F-F’的角度α向右侧倾斜。在经由通道515和孔532向注入室513中注入气体之后,气体朝着衬底120下行并且与衬底120发生接触。然后气体流过收缩区840,在这期间从衬底120去除过量材料(例如物理吸附的源或者反应物前体)。经由出口412在游离基反应器以外排出过量气体。
类似地,沿着平面G-G”对准通道510、孔540、等离子体室718和内电极504。平面G-G”在相对于竖直平面G-G’的角度β倾斜。角度α和β可以具有相同或者不同幅度。
通过跨内电极504和外电极820施加电压差将经由通道510和孔540向等离子体室718中注入的气体转换成游离基。生成的游离基经由缝734向注入室560中行进。在注入室560内,游离基朝着衬底120移动并且与衬底120发生接触。游离基可以充当源前体、反应物前体或者充当对衬底120的表面处理材料。剩余游离基(和/或恢复至不活跃状态的气体)穿过收缩区844并且经由出口420排出。
图9是根据一个实施例的在分段503、507沿着图5的线E-E’截取的反应器组件的截面图。在图9的实施例中,沿着平面H-H”对准通道515和孔533。平面H-H”在相对于竖直平面H-H’的角度α’向左侧倾斜。在经由通道515和孔533向注入室514中注入气体之后,气体朝着衬底120下行并且与衬底120发生接触。然后,气体流过收缩区840并且经由出口410从反应器组件去除。
沿着平面I-I”对准通道518、孔544、等离子体室720和内电极432。平面I-I”在相对于竖直平面I-I’的角度β’倾斜。在注入室560内,游离基朝着衬底120移动并且与衬底120发生接触。游离基可以充当源前体、反应物前体或者充当对衬底120的表面处理材料。剩余游离基(和/或恢复至不活跃状态的气体)穿过收缩区844并且经由出420排出。角度α’和β’可以具有相同或者不同幅度。
上文参照图4至图9描述的实施例仅为示例。可以对实施例进行各种修改或者变更。例如孔540、544、836、908无需在相同平面中与通道510、518、514、515对准。除了孔或者缝之外的穿孔也可以用来向衬底120输送气体或者游离基。注入室514、560可以具有除了图8和图9中所示形状之外的各种其它形状。另外,出口可以形成于注入器或者游离基反应器的两侧(左和右侧上)上而不是仅在一侧(例如如图8和9中所示右侧上)上提供。
在一个实施例中,反应器组件通过让注入器402向衬底120上注入三甲基铝(TMA)作为源前体并且游离基反应器404向衬底上注入N2O或者O2的游离基作为反应物前体在衬底120上沉淀剂Al2O3层。各种其它材料可以用作源前体和反应物前体以在衬底上沉积其它材料。
图10是根据另一实施例的反应器组件1000的俯视图。反应器组件1000与除了将注入器和游离基组件划分成三个分离的分段之外与上文参照图4至图9描述的反应器组件相似。图10的注入器包括近似相等长度的注入器分段1010、1014、1018;并且游离基反应器包括近似相等长度的反应器分段1022、1026、1028。在这一实施例中,导管1032A和1040A连接到注入器的分段1014中的通道。导管1032B连接到分段1010中的通道,并且导管1040B连接到注入器的分段1018中的通道。
图10的游离基反应器也与图4至图9的游离基反应器相似、但是具有各自在分段1022、1026、1028之一中提供的三个内电极1072、1074、1076。三个内电极1072、1074、1076由保持器1032、1036、1040、1044固着以将内电极1072、1074、1076从游离基反应器的本体绝缘。内电极1074经由接线或者其它传导材料连接到端子1052、1056。
根据反应器组件的尺寸和使用,可以将它的注入器或者游离基反应器划分成多于三个分段。分段无需是相等长度,并且注入器和游离基反应器的分段可以具有不同长度。在一个实施例中,注入器和游离基反应器的总长度不同。另外,无需串接放置而可以相互远离放置注入器和游离基反应器。
图11是图示根据一个实施例的内电极1110的图。随着电极1110的长度增加,电极1110的电阻也可以增加。电极1110可以具有外层1114和芯1118。在一个实施例中,外层1114由不锈钢、基于奥氏体镍-铬的超合金(例如INCONEL)或者镍钢合金(例如INVAR)制成,并且芯1118由铜、银或者它们的合金制成。例如可以向由不锈钢或者合金制成的导管中注入铜或者银以形成芯1118。备选地,由铜、银或者它们的合金制成的棒可以用于芯1118,该芯镀有材料(诸如镍)以形成外层1114。通过提供具有更高传导率的芯,增加电极1110的总传导率从而有助于游离基在等离子体通道中沿着电极1110的长度的更均匀和一致生成。在一个实施例中,内电极1110具有3至10mm的直径。
虽然上文已经关于若干实施例描述本发明,但是可以在本发明的范围内进行各种修改。因而本发明的公开内容旨在于举例说明、但是未限制在所附权利要求中阐述的本发明的范围。

Claims (20)

1.一种在用于执行原子层沉积(ALD)的沉积设备中的反应器组件,包括:
游离基反应器,包括:
本体,与衬底被装配于其上的基座相邻放置,所述本体形成有在所述游离基反应器的纵向延伸第一距离的第一反应器分段中的第一等离子体室和在纵向延伸第二距离的第二反应器分段中的第二等离子体室;
第一内电极,在所述第一等离子体室内延伸,所述第一内电极被配置用于通过跨所述第一内电极和第一外电极施加电压差在所述第一等离子体室内生成第一气体的游离基;以及
第二内电极,在所述第二等离子体室内延伸,所述第二内电极被配置用于通过跨所述第二内电极和第二外电极施加电压差在所述第二等离子体室内生成所述第一气体的游离基。
2.根据权利要求1所述的反应器组件,其中所述本体还形成有:
注入室,连接到所述第一等离子体室和所述第二等离子体室以接收所述游离基,其中从所述注入室向所述衬底上注入所述游离基;
收缩区,具有比所述注入室的高度更低的高度;以及
至少一个出口,连接到所述收缩区,所述至少一个出口被配置用于从所述反应器组件排出所述游离基。
3.根据权利要求1所述的反应器组件,其中所述第一等离子体室形成于所述注入室的一侧处,并且所述第二等离子体室形成于所述注入室的另一侧处。
4.根据权利要求1所述的反应器组件,其中所述本体还形成有在所述第一反应器分段中的第一反应器通道和在所述第二反应器分段中的第二反应器通道,所述第一反应器通道经由第一管道连接到气体源,并且所述第二反应器通道经由与所述第一管道分开的第二管道连接到所述气体源。
5.根据权利要求1所述的反应器组件,其中所述本体还形成有用于从所述反应器组件排出所述游离基的至少两个出口,所述至少两个出口中的两个出口具有在所述两个出口之间的位置处接合的内表面。
6.根据权利要求1所述的反应器组件,还包括注入器,所述注入器形成有:
在所述注入器的第一注入器分段中的第一注入器通道,用于经由第一管道接收第二气体;
在所述注入器的第二注入器分段中的第二注入器通道,用于经由第二管道接收所述第二气体;
连接到所述第一注入器通道和所述第二注入器通道的室,用于接收所述气体并且向所述衬底上注入所述气体,至少一个出口用于从所述反应器组件排出所述气体;以及
收缩区,将所述室连接到所述至少一个出口,所述收缩区具有比所述注入室的高度更低的高度。
7.根据权利要求6所述的反应器组件,其中所述第一注入器通道形成于所述室的一侧处,并且所述第二注入器通道形成于所述室的另一侧处。
8.根据权利要求1所述的反应器组件,其中所述反应器组件的有效长度大于所述衬底的宽度。
9.根据权利要求1所述的反应器组件,其中所述第一内电极包括芯和外层,所述芯由第一材料制成,所述第一材料具有与所述外层的第二材料相比的更高传导率。
10.根据权利要求9所述的反应器组件,其中所述第一材料包括铜、银或者其合金;并且所述第二材料包括不锈钢、基于奥氏体镍-铬的超合金或者镍钢合金。
11.一种用于使用原子层沉积(ALD)在衬底上沉积一个或者多个材料层的沉积装置,包括:
基座,被配置用于装配衬底;
游离基反应器,包括:
本体,与所述基座相邻放置,所述本体形成有在所述游离基反应器的纵向延伸第一距离的第一反应器分段中的第一等离子体室和在纵向延伸第二距离的第二反应器分段中的第二等离子体室;
第一内电极,在所述第一等离子体室内延伸,所述第一内电极被配置用于通过跨所述第一内电极和第一外电极施加电压差在所述第一等离子体室内生成第一气体的游离基;以及
第二内电极,在所述第二等离子体室内延伸,所述第二内电极被配置用于通过跨所述第二内电极和第二外电极施加电压差在所述第二等离子体室内生成所述第一气体的游离基;以及致动器,被配置用于引起在所述基座与所述游离基反应器之间的相对移动。
12.根据权利要求11所述的沉积装置,其中所述本体还形成有:
注入室,连接到所述第一等离子体室和所述第二等离子体室以接收所述游离基,其中从所述注入室向所述衬底上注入所述游离基;
收缩区,具有比所述注入室的高度更低的高度;以及
至少一个出口,连接到所述收缩区,所述至少一个出口被配置用于从所述反应器组件排出所述游离基。
13.根据权利要求11所述的沉积装置,其中所述第一等离子体室形成于所述注入室的一侧处,并且所述第二等离子体室形成于所述注入室的另一侧处。
14.根据权利要求11所述的沉积装置,其中所述本体还形成有在所述第一反应器分段中的第一反应器通道和在所述第二反应器分段中的第二反应器通道,所述第一反应器通道经由第一管道连接到气体源,并且所述第二反应器通道经由与所述第一管道分开的第二管道连接到所述气体源。
15.根据权利要求11所述的沉积装置,其中所述本体还形成有用于从所述反应器组件排出所述游离基的至少两个出口,其中所述至少两个出口的内表面在所述两个出口之间接合。
16.根据权利要求11所述的沉积装置,还包括注入器,所述注入器形成有:
在所述注入器的第一注入器分段中的第一注入通道,用于经由第一管道接收第二气体;
在所述注入器的第二注入器分段中的第二注入通道,用于经由第二管道接收所述第二气体;
连接到所述第一注入通道和所述第二注入通道的室,用于接收所述气体并且向所述衬底上注入所述气体,至少一个出口用于从所述反应器组件排出所述气体;以及
收缩区,将所述室连接到所述至少一个出口,所述收缩区具有比所述注入室的高度更低的高度。
17.根据权利要求16所述的沉积装置,其中所述第一注入通道形成于所述室的一侧处,并且所述第二注入通道形成于所述室的相反侧处。
18.根据权利要求11所述的沉积装置,其中所述反应器组件的有效长度大于所述衬底的宽度。
19.根据权利要求11所述的沉积装置,其中所述第一内电极包括芯和外层,所述芯由第一材料制成,所述第一材料具有与所述外层的第二材料相比的更高传导率。
20.根据权利要求19所述的沉积装置,其中所述第一材料包括铜、银或者其合金;并且所述第二材料包括不锈钢、基于奥氏体镍-铬的超合金或者镍钢合金。
CN2011800530407A 2010-11-24 2011-11-11 用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件 Pending CN103189543A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US41693110P 2010-11-24 2010-11-24
US61/416,931 2010-11-24
PCT/US2011/060474 WO2012071195A1 (en) 2010-11-24 2011-11-11 Extended reactor assembly with multiple sections for performing atomic layer deposition on large substrate

Publications (1)

Publication Number Publication Date
CN103189543A true CN103189543A (zh) 2013-07-03

Family

ID=46063115

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800530407A Pending CN103189543A (zh) 2010-11-24 2011-11-11 用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件

Country Status (5)

Country Link
US (1) US20120125258A1 (zh)
KR (1) KR101538874B1 (zh)
CN (1) CN103189543A (zh)
TW (1) TWI444500B (zh)
WO (1) WO2012071195A1 (zh)

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101482630B1 (ko) 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US9493874B2 (en) 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102337670B1 (ko) * 2015-03-30 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 박막 증착 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6886020B2 (ja) * 2016-11-15 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 移動基板の完全プラズマ被覆のための動的フェーズドアレイプラズマ源
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2116012U (zh) * 1992-04-14 1992-09-16 北京有色金属研究总院 不锈钢包铜复合电极
US5560777A (en) * 1992-11-09 1996-10-01 Goldstar Co., Ltd. Apparatus for making a semiconductor
US20020058143A1 (en) * 2000-09-22 2002-05-16 Hunt Andrew T. Chemical vapor deposition methods for making powders and coatings, and coatings made using these methods
US6670766B2 (en) * 2000-06-06 2003-12-30 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method
US20090165715A1 (en) * 2007-12-27 2009-07-02 Oh Jae-Eung Vapor deposition reactor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5468557A (en) * 1989-01-12 1995-11-21 Sumitomo Electric Industries, Ltd. Ceramic insulated electrical conductor wire and method for manufacturing such a wire
FR2704558B1 (fr) * 1993-04-29 1995-06-23 Air Liquide Procede et dispositif pour creer un depot d'oxyde de silicium sur un substrat solide en defilement.
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
JP3483494B2 (ja) * 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
US8471170B2 (en) * 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
WO2010095901A2 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8771791B2 (en) * 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US20120213947A1 (en) * 2011-02-18 2012-08-23 Synos Technology, Inc. Depositing thin layer of material on permeable substrate
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
KR101969066B1 (ko) * 2012-08-23 2019-04-16 삼성디스플레이 주식회사 기상 증착 장치
US20140065307A1 (en) * 2012-09-06 2014-03-06 Synos Technology, Inc. Cooling substrate and atomic layer deposition apparatus using purge gas
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
KR102124042B1 (ko) * 2013-02-18 2020-06-18 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
KR20150078306A (ko) * 2013-12-30 2015-07-08 삼성디스플레이 주식회사 원자층 증착 장치 및 원자층 증착 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2116012U (zh) * 1992-04-14 1992-09-16 北京有色金属研究总院 不锈钢包铜复合电极
US5560777A (en) * 1992-11-09 1996-10-01 Goldstar Co., Ltd. Apparatus for making a semiconductor
US6670766B2 (en) * 2000-06-06 2003-12-30 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method
US20020058143A1 (en) * 2000-09-22 2002-05-16 Hunt Andrew T. Chemical vapor deposition methods for making powders and coatings, and coatings made using these methods
US20090165715A1 (en) * 2007-12-27 2009-07-02 Oh Jae-Eung Vapor deposition reactor

Also Published As

Publication number Publication date
US20120125258A1 (en) 2012-05-24
WO2012071195A1 (en) 2012-05-31
TW201243093A (en) 2012-11-01
KR101538874B1 (ko) 2015-07-22
KR20130088875A (ko) 2013-08-08
TWI444500B (zh) 2014-07-11

Similar Documents

Publication Publication Date Title
CN103189543A (zh) 用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件
KR101718869B1 (ko) 스캐닝 반응기를 이용한 대형 기판상 원자 층 증착의 수행
CN103201408A (zh) 具有多个等离子体室的游离基反应器
US20150184295A1 (en) Atomic layer deposition apparatus
US20090304924A1 (en) Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
US20130005057A1 (en) Apparatus for atomic layer deposition
CN106103794A (zh) 利用短距离往复运动的材料的空间沉积
KR20120109989A (ko) 웹 기판 증착 시스템
KR20080109002A (ko) 원자층 침착 방법
KR100943560B1 (ko) 코팅 장치 및 가스 주입 시스템
US20200131637A1 (en) Modular injector and device for spatial atomic layer deposition
CN103354844A (zh) 溅射装置
US20170002463A1 (en) Showerhead and a thin-film deposition apparatus containing the same
US20220380899A1 (en) Vapor deposition apparatus
CN102586761B (zh) 用于原子层沉积的涡流室盖
US20210296094A1 (en) Plasma source and method of operating the same
KR102101720B1 (ko) 스퍼터링 장치
CN109130496B (zh) 一种制备柔性可延展多级结构互连线的方法及设备
CN106048561A (zh) 一种原子层沉积装置及方法
KR20130133489A (ko) 원자층 증착장치
KR101887192B1 (ko) 롤투롤 원자층 증착장치
TW201445003A (zh) 氣體噴灑器以及具有該噴灑器的薄膜沉積裝置
KR20210009391A (ko) 기상 증착 장치
KR101876522B1 (ko) 기판 셔틀 장치, 이를 포함하는 기상 증착 장치 및 기판 셔틀 장치의 제조방법
US20140113395A1 (en) Vapor deposition apparatus, method of forming thin film by using vapor deposition apparatus, and method of manufacturing organic light emitting display apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Weike ALD Co., Ltd.

Address before: American California

Applicant before: Synos Technology, Inc.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: SYNOS TECHNOLOGY INC. TO: WEIKE ALD CO., LTD.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130703