JP4633269B2 - 基板処理装置及び半導体装置の製造方法 - Google Patents

基板処理装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP4633269B2
JP4633269B2 JP2001006690A JP2001006690A JP4633269B2 JP 4633269 B2 JP4633269 B2 JP 4633269B2 JP 2001006690 A JP2001006690 A JP 2001006690A JP 2001006690 A JP2001006690 A JP 2001006690A JP 4633269 B2 JP4633269 B2 JP 4633269B2
Authority
JP
Japan
Prior art keywords
furnace
substrate processing
space
boat
processing space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001006690A
Other languages
English (en)
Other versions
JP2002217112A (ja
Inventor
実 古賀野
敦 森谷
誠 三部
泰夫 国井
泰啓 井ノ口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2001006690A priority Critical patent/JP4633269B2/ja
Priority to US10/041,617 priority patent/US6503079B2/en
Priority to KR10-2002-0002039A priority patent/KR100491128B1/ko
Priority to TW091100452A priority patent/TW541596B/zh
Publication of JP2002217112A publication Critical patent/JP2002217112A/ja
Application granted granted Critical
Publication of JP4633269B2 publication Critical patent/JP4633269B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Description

【0001】
【発明の属する技術分野】
本発明は、基板処理装置及び半導体装置の製造方法に係り、特に複数の半導体基板をバッチ処理する縦型装置に好適なものに関する。
【0002】
【従来の技術】
従来の縦型装置の反応炉は、例えば炉が二重管構造の場合、同軸的に配設された外部反応管と内部反応管とを有する。外部反応管は上部が閉じ下部が開口し、内部反応管は上下部ともに開口している。外部反応管及び内部反応管の下部開口には、ガス導入ノズル及びガス排気口が設けられた炉口フランジが連設される。炉口フランジの炉口は、内部反応管内に挿入されるボートの下部に設けられたシールキャップにより密閉される。ボートには多数枚のウェハが載置され、反応雰囲気内でバッチ処理される。
【0003】
反応炉内を真空引きした後、反応ガスはガス導入ノズルから導入され、炉下部の雰囲気を伴って内部反応管に入り込み、ボートに載置された多数枚のウェハと接触しながら上昇する。この際、ウェハの加熱により反応ガスが分解して、反応生成物がウェハ表面に堆積し、薄膜が生成される。処理後のガスは、外部反応管の上部で反転し、外部反応管と内部反応管との間に形成される通路を通って下降し、炉下部から排出される。したがって、二重管構造の装置では、ウェハの存在する反応雰囲気でのガスの流れは下から上となる。
【0004】
【発明が解決しようとする課題】
上記炉口フランジ及び炉口を塞ぐシールキャップには真空シールのためのOリングが多用され、Oリングの成分が脱ガスしたり、あるいはそこから外部リークする可能性がある。また、装置によっては、成膜中ボートを回転させるボート回転機構がシールキャップに取り付けられることがあり、この回転機構も汚染源となる。これら汚染源は反応炉下部の炉口部に集中している。したがって、炉口部は反応雰囲気の汚染源となる。
【0005】
上述した二重管構造の反応炉では、炉口部の汚染源がウェハの存在する反応雰囲気中でガスの流れに対して上流に位置する。このため、下部から導入されたガスは上流に位置する汚染源で発生した汚染物質を含有したままウェハまで飛来する。その結果、ガスに含まれた汚染物質がウェハに付着して、成膜表面を曇らすヘイズが発生する等して、膜成長不良の原因となっていた。また、エピタキシャル成長などの高清浄な反応雰囲気が要求されるプロセスにおいては、上記汚染物質がプロセス反応を阻害したり、反応ガスの吸着を阻害したりして、ドーピング量均一性の悪化の要因ともなっていた。
【0006】
なお、上述した問題は一重管構造の反応炉にも共通する。内部反応管を有さない一重管構造の反応炉には、反応管内の上部までガス導入ノズルを延在させ、反応ガスを上部から下部に向けて供給し、下部から排気しているものがある。この場合は、汚染源はガス下流に存在することになるが、ガスを炉下部から排気する際、炉口部の汚染物質を巻き上げ、汚染物質が逆拡散してウェハに飛来し、前述したのと同様な問題を引き起こしていた。
【0007】
本発明の課題は、上述した従来技術の問題点を解決して、汚染のない高清浄な反応雰囲気での処理を可能とする基板処理装置及び半導体装置の製造方法を提供することにある。
【0008】
【課題を解決するための手段】
請求項1に記載の発明は、シールキャップで炉口を閉塞され、炉内で基板を処理する炉と、前記炉内のうち、炉口側の炉口部空間と基板処理空間との間に設けられ、前記炉口部空間から前記基板処理空間へ炉口側の汚染物質が逆拡散するのを防止する逆拡散防止体と、基板を処理するために炉内に反応ガスを導入する反応ガス導入系と、前記導入された反応ガスを前記基板処理空間から排気する処理排気系と、前記炉口部空間を前記基板処理空間とは独立して排気する炉口排気系とを備えた基板処理装置である。
【0009】
炉口側の汚染対策として、炉口部空間と基板処理空間との間に逆拡散防止体を設けるとともに、炉口部空間を基板処理空間とは独立に排気するようにしたので、炉口部空間から基板処理空間への汚染物質が逆拡散するのを有効に防止できる。
【0010】
上述した基板処理装置には、表面処理装置、成膜装置、エピタキシャル成膜装置、SiGe膜成膜装置などが含まれる。
【0011】
請求項2に記載の発明は、前記炉口部空間にN2等の不活性ガスやH2ガスを供給して、前記炉口部空間内をパージするパージガス導入系を備えた請求項1に記載の基板処理装置である。炉口部空間にN2等の不活性ガスやH2ガスを供給して炉口部空間をパージしつつ排気すると、汚染物質の逆拡散をより有効に防止できる。
【0012】
請求項3に記載の発明は、炉口部空間の圧力を基板処理空間の圧力よりも低くした請求項1又は2に記載の基板処理装置である。炉口部空間の圧力を基板処理空間の圧力よりも低くすることにより、汚染物質の逆拡散をより一層有効に防止することができる。
【0013】
請求項4に記載の発明は、前記反応ガス導入系が、前記基板処理空間に反応ガスを直接供給するように構成されている請求項1ないし3のいずれかに記載の基板処理装置である。基板処理空間に反応ガスを直接供給して、反応ガスが炉口部空間内の汚染物質を基板処理空間に巻き込まないようにしたので、汚染物質の逆拡散をより一層有効に防止することができる。
【0014】
請求項5に記載の発明は、前記基板の処理が基板の表面にエピタキシャル膜を形成する処理である請求項1ないし4のいずれかに記載の基板処理装置である。この発明の基板処理装置は、基板の処理がエピタキシャル膜の成膜である場合に好適に使用され、SiGe膜成膜の場合に特に好適に使用される。
【0015】
請求項6に記載の発明は、炉内に半導体基板を挿入して炉口をシールキャップで閉塞するステップと、前記炉内のうち、炉口側の炉口部空間から基板処理空間へ炉口部の汚染物質が逆拡散するのを防止するステップと、前記半導体基板を処理するために炉内に反応ガスを導入するステップと、前記導入された反応ガスを前記基板処理空間から排気するステップと、前記炉口部空間を前記基板処理空間とは独立して排気するステップとを含むことを特徴とする半導体装置の製造方法である。
【0016】
これによれば、炉口側の汚染対策として、炉口部空間から基板処理空間への逆拡散を防止するステップと、炉口部空間を基板処理空間とは独立に排気するステップを含むようにしたので、炉口領域から基板処理空間への汚染物質の逆拡散を有効に防止できる。
【0017】
請求項7に記載の発明は、前記反応ガスがSiGe膜を成膜するための反応ガスである請求項6に記載の半導体装置の製造方法である。SiGe膜を成膜するために使用する反応ガスは、例えばモノシラン(SiH4)、モノゲルマン(GeH4)、モノメチルシラン(CH3SiH3)、ジボラン(B26)、水素(H2)の混合ガスである。
【0018】
【発明の実施の形態】
以下に本発明の実施の形態を説明する。
【0019】
図1を用いて第1の実施の形態を説明する。図1は半導体装置の製造方法を実施するための基板処理装置に係る縦型装置の反応炉Aの概略図である。ここでは反応炉は一重管構造となっている。
【0020】
上部が閉じた円筒状のヒータ1の内側に、上部が閉じた円筒状の石英製反応管2がヒータ1と同心状に設けられる。反応管2は短筒状のステンレス製の炉口フランジ7上に立設され、反応管2の開口と炉口フランジ7の上端との間はOリング11によりシールされている。炉口フランジ7の下端の炉口16はOリング11を介してシールキャップ17により気密に閉塞される。シールキャップ17上にボート6が立設されて反応管2内に挿入される。ボート6にはシリコン等のウェハ5が水平姿勢で多段に装填され、これらのウェハ5はバッチ処理される。炉口フランジ7がシールキャップ17により気密に閉塞されることにより、反応管2と炉口フランジ7とで相互に連通した1つの閉じた空間(反応室)が構成される。
【0021】
ボート6を立設するシールキャップ17には、さらにボート回転機構13、回転軸18、及びボート載置台19が取り付けられる。シールキャップ17は、二段構造をしており、反応管2と略同径の円板17aと、その円板17aの外周上にOリング11を介して段積みされたヒータ1と略同径のリング17bとで構成される。円板17aと中空のリング17bとがOリング11を介して炉口フランジ7の炉口16を気密に閉塞している。このようにシールキャップ17には真空シールのためのOリング11が多用されている。
【0022】
シールキャップ17に裏面より挿通された回転軸18の上端には、反応管2の径とボート6の径との略中間の径をもつ円板状のボート載置台19が取り付けられ、その上にボート6が立設されている。回転軸18はシールキャップ17の裏面に取り付けられたボート回転機構13によって回転し、ボート回転機構13は円板状のボート載置台19に立設したボート6を反応管2内で回転させる。
【0023】
ボート6の挿入時、ちょうどボート載置台19を境にして、上記炉の閉空間は、上方の基板処理空間20と下方の炉口部空間21とに分けることができる。基板処理空間20は、上下方向が反応管2の頂部とボート載置台19とで区画形成されて、ボート6を格納してウェハ5を処理する炉前部室を構成する。炉口部空間21は、ボート載置台19とシールキャップ17とで区画形成されて、回転軸18や炉口16の近傍を意味する炉口部Bを含む炉口部室を構成する。
【0024】
炉口フランジ7において、基板処理空間20の雰囲気を排気するとともに、基板処理空間20に反応ガスを導入するようにしている。すなわち、基板処理空間20の下部を区画する炉口フランジ7の上部に、基板処理空間20と連通して基板処理空間20を排気するガス排気管14を設ける。このガス排気管14は図示しないバルブ、ポンプとともにガス排気系を構成する。また、炉口部空間21を区画する炉口フランジ7の下部にガス導入管4が接続され、ガス導入管4から反応ガスを基板処理空間20に導入し、前記ガス排気管14から排気するようになっている。ガス導入管4は、図示しないガス供給管、ガス供給源とともに反応ガス導入系を構成する。
【0025】
ところで上記した説明までの構成では、ウェハ上に形成する膜がSiGe膜の場合、大気中の水分や有機汚染等の汚染物質が少しでもあると、ヘイズが発生することがわかっている。ヘイズが発生する原因は次のように考えられる。
【0026】
(1)シールキャップ17により炉口16を閉塞しているシール部から微量のリークにより、大気中の水分が混入すること、
(2)シールキャップ17に設けられたシール用Oリング11から脱ガスして有機汚染源になったり、シールキャップ17に設けられたボート回転機構13に用いられている磁性流体が揮発してカーボン(C)やフッ素(F)の汚染源になること、
(3)これら汚染源側である炉口部Bから反応管2に向かって反応ガスを供給すると、ウェハへ多量に汚染物が運ばれること。
【0027】
そこで、本実施の形態では、炉口部Bの汚染対策として、上記した構成につぎのような(A)〜(C)の構成を付加している。
【0028】
(A)まず、基板処理空間20と炉口部空間21とを隔離している。すなわち、炉口16側に位置する炉口部空間21と、炉口16側とは反対側に位置する基板処理空間20との間に逆拡散防止体8を設ける。逆拡散防止体8は、炉口16側で発生する汚染物質が炉口部空間21から基板処理空間20へ逆拡散するのを防止する。図示例では、逆拡散防止体8は炉口フランジ7側に設けられる。すなわち、炉口フランジ7の内壁から径方向内方に突設され、ボート6を反応管2内に挿入した状態で、ボート載置台19が逆拡散防止体8の下方に位置し、図示例のように相互の先端がオーバラップする構造とする。このとき、逆拡散防止体8とボート載置台19との先端間は、ボート6の回転を許容するために隙間22を確保する。
【0029】
逆拡散防止体8は、図2の平面図に示すように、中央にボート6を挿通するための穴を有するリング状をしており、リングの一部に後述するガス導入ノズル4の垂直部4bを挿通するためのノズル穴8aが形成されている。逆拡散防止体8には石英、SiCなど、耐熱性が高く汚染物質を極力含まない材料を使用する。
【0030】
(B)つぎに、炉口部空間21の雰囲気を排気するとともに、炉口部空間21にパージガスを流すようにしている。すなわち、炉口部空間21を区画する炉口フランジ7の下部に、炉口部空間21を基板処理空間20とは独立して排気する炉口排気管15を設ける。炉口排気管15は、図示しないバルブ及びポンプ等とともに炉口排気系を構成する。また、炉口フランジ7の下部にパージガス供給管10が接続され、パージガス供給管10からパージガスを炉口部空間21内に供給して、前述した炉口排気管15から排気するようになっている。パージガスとしてはN2などの不活性ガス、またはH2ガスを用いる。パージガス供給管10は、図示しないガス供給管、ガス供給源とともにパージガス導入系を構成する。
【0031】
(C)さらに、反応ガスは基板処理空間20側に直接供給するようにしている。すなわち、炉口部空間21を構成する炉口フランジ7の下部から水平に挿入されたガス導入ノズル4は、炉口部空間21内に止まらず、逆拡散防止体8のノズル穴8aを通って基板処理空間20に垂直に入り、そのまま反応管2の上部付近まで延在される。これにより反応管2の上部から基板処理空間20に反応ガスを直接供給するようになっている。供給された反応ガスは、矢印に示すように、反応管2の上部からボート6に多段に装填されたウェハ5と接触しながら下降して基板処理空間20の下部に設けたガス排気管14から排気される。したがって、ウェハ5の存在する反応雰囲気でのガスの流れは上から下となる。
【0032】
つぎに上述したような構成の作用を説明する。炉口フランジ7、シールキャップ17には真空シールのためのOリング11が多用され、Oリング11の成分が脱ガスしたり、あるいはそこから外部リークする可能性があり、反応雰囲気の汚染源となる。また、ボート回転機構13も汚染源となり、これら汚染源は反応炉下部の炉口16側に集中していることは前述した通りである。
【0033】
上述した実施の形態の構成では、反応炉Aの炉口部空間21と基板処理空間20との間に逆拡散防止体8を設けて、基板処理空間20を、汚染源を抱える炉口部空間21から隔離したので、炉口部空間21から基板処理空間20への汚染物質の流れに対する抵抗を与えることができる。
【0034】
この逆拡散防止体8に加えて、炉口フランジ7に炉口部空間21と連通する炉口排気管15及びパージガス供給管10を設けて、炉口部空間21を真空排気する際に、炉口部空間21にパージガスを供給している。このように、基板処理空間20と炉口部空間21との間に逆拡散防止体8を設けるとともに、炉口部空間21を基板処理空間20とは独立して排気するようにしている。したがって、炉口部空間21と基板処理空間20とが干渉せず、汚染物質は炉口部空間21から炉口排気管Lに確実に排気され、炉口部空間21から基板処理空間20への汚染物質の逆拡散を有効に防止できるようになる。
【0035】
また、炉口フランジ7に挿入されたガス導入ノズル4は、炉口部空間21に止まらず、基板処理空間20にまで挿入されている。したがって反応ガスが炉口部空間21内の雰囲気と接触しないため、反応ガスが反応炉内に導入される際、炉口部Bで発生した汚染物質を基板処理空間20内に巻きこむことがない。しかも、基板処理空間20内に挿入されたガス導入ノズル4は、反応管2の上部にまで延在しているので、ウェハ5の存在する反応雰囲気でのガスの流れは上から下となる。このため炉口部Bで発生した汚染物質が基板処理空間20内に流入するおそれが一層なくなる。
【0036】
ここで、上述した縦型装置の反応炉での成膜処理手順及びプロセス条件は次の通りである。ウェハの処理枚数は、例えば、8インチウェハで50枚である。シリコンウェハ上に生成する膜はSiGe膜とし、その成膜のために使用する反応ガスは、モノシラン(Si4)、モノゲルマン(GeH4)、モノメチルシラン(CH3SiH3)、ジボラン(B26)、水素(H2)を同時に供給した混合ガスである。
【0037】
反応管2内に装填されたウェハ5は、水素(H2)のみ流した状態で圧力30Paのもと750℃まで昇温される。その状態で水素(H2)のみを流し、ウェハ表面をクリーニングする。圧力は一定に維持したまま500℃まで降温して、前述した混合ガスを流す。これによりシリコンゲルマ(SiGe)のボロン(B)ドーピング膜、もしくはシリコンゲルマ(SiGe)のカーボン(C)およびボロン(B)ドーピング膜が得られる。膜厚は、例えばHBT(Hetero junction Bipolar Transistor)用であれば50nmとする。なお、前記圧力は、成膜条件に適した圧力で一定としたが、基板表面クリーニングとは別の最適な圧力とすることも可能である。成膜が終り、ウェハを交換する時にボート6を下に移動させる。このとき逆拡散防止体8は炉口フランジ7側に設けられているため、そのまま炉内に残る。
【0038】
上述したように実施の形態では、逆拡散防止板8を設置し、さらに炉口部空間21にN2、H2等のパージガスを導入しつつ、専用の炉口排気管15から排気し、さらに反応ガスを基板処理空間20に直接導入して反応雰囲気でのガスの流れを上から下にしている。したがって、シール部から微量のリークにより大気中の水分が混入したり、シール用Oリング11やボート回転機構13から汚染物質が発生したりしても、汚染物質の逆拡散を有効に防止できるから、これらの反応炉下部の汚染物質がウェハ5へ運ばれることはなくなり、反応室内を高清浄な反応雰囲気に保つことができる。その結果、ヘイズの発生が無くなり、良質なエピタキシャル膜を成膜することができる。
【0039】
このように実施の形態の縦型装置は、汚染源の最有力部分の汚染物質を効果的に排除することができるので、特に縦型バッチ処理装置で、染物汚染に厳しい良質な膜を形成することが要請されるSiGe膜を生成するのに有力な技術となる。
【0040】
次に図3を用いて第2の実施の形態について説明する。第1の実施の形態と異なる点は反応炉が二重管構造になっている点であり、その他の点は図1と同じであるので、図1と対応する部分に同一符号を付して示す。
【0041】
上部が閉じた円筒状のヒータ1の内側に、円筒状の石英製外部反応管2が設けられ、外部反応管2の内部には上端が開放された円筒状の石英製内部反応管3が同心状に配設される。外部反応管2は炉口フランジ7の上端に立設され、外部反応管2と炉口フランジ7間はOリング11によりシールされている。内部反応管3は炉口フランジ7の内壁から径方向内方へ突設した反応管受け部23に立設される。炉口フランジ7の下端はOリング11を介してシールキャップ17により気密に閉塞される。シールキャップ17にボート6が立設されて内部反応管3内に挿入される。ボート6には成膜処理されるシリコン等のウェハ5が水平姿勢で多段に装填され、多数枚のウェハ5がバッチ処理されるように構成される。炉口フランジ7がシールキャップ17により気密に密閉されることにより、内部反応管3と炉口フランジ7とで相互に連通した閉空間が構成される。前記反応管受け部23は、ボート6を内部反応管3内に挿入した状態で、ボート載置台19と対向する位置に設けられる。
【0042】
第2の実施の形態でも、第1の実施の形態と同様に、炉口部の汚染対策として3つの構成を付加している。すなわち、リング状の逆拡散防止体8を、その先端がボート載置台19の先端とオーバラップするように反応管受け部23上に設けて、基板処理空間20と炉口部空間21とを隔離している。また、パージガス供給管10と炉口排気管15を設けて炉口部空間21のパージガスを流しつつ排気し、ガス導入ノズル4を延設して反応ガスを直接基板処理空間20内に導入している。ガス導入ノズル4は、第1の実施の形態と異なり、外部反応管2の上部までは延在させず、ボート6の下部で止めてある。ガス導入ノズル4を第1の実施の形態のように上部まで延在させると、反応ガスはウェハ5と接触することなく、排気されてしまう。そこで、基板処理空間20に挿入したガス導入ノズル4は、上部まで延在させずに、ボート6の下部止りとする。これにより反応ガスは、矢印に示すように、内部反応管3の下部からボート6に多段に装填されたウェハ5と接触しながら上昇し、上昇後反転し、内部反応管3と外部反応管2の間に形成される通路25を通って下降し、通路25の下部から排気される。したがってウェハ5の存在する反応雰囲気でのガスの流れは下から上となる。
【0043】
上述した二重管構造の縦型装置の反応炉においても、逆拡散防止体8を用いて基板処理空間20から炉口部空間21を隔離したうえ、炉口部空間21にパージガスを導入して排気し、反応ガスを直接基板処理空間20に導入することで、基板処理空間20を、炉口部Bからの汚染の影響を受けない高清浄な反応雰囲気に保つことができる。このため内部反応管3の有無、及びウェハの存在する反応雰囲気でのガスの流れ方向に関係なく良質な膜生成が可能となる。
【0044】
なお、上述した第1及び第2の実施の形態では、逆拡散防止体8とボート載置台19の相互の先端をオーバラップさせているが、オーバラップさせないで、単に逆拡散防止体8とボート載置台19とを対向させ、その先端同士の隙間を可能な範囲で狭く設定するようにしてもよい。
【0045】
また、上述した両実施の形態では、ボート6が回転するために、基板処理空間20と炉口部空間21との間に設けられる逆拡散防止体8を炉口フランジ7側に設けるようにしている。しかし、ボート6が回転機構13を有さず回転しないのであれば、図4〜図6に示すように、ボート載置台19側、またはボート載置台19側及び炉口フランジ7側両方に設けてもよい。図4は一重管構造の反応炉Aにおいて、逆拡散防止体8をボート載置台19に設けた場合、図5は同じく一重管構造の反応炉Aにおいて、逆拡散防止体8を炉口フランジ7側及びボート載置台19側の両方に設けた場合、図6は二重管構造の反応炉において、逆拡散防止体8をボート載置台19側に設けた場合をそれぞれ示している。要するに、逆拡散防止体8は、ガスの流れと逆方向に汚染物質が拡散するのを阻害することができれば、いずれの側に取り付けてもよい。
【0046】
この逆拡散防止体8はボート載置台19側と炉口フランジ7側との両方に設けられている場合には(図5)、両方の部材が非接触で重なり部がある方がより効果的に汚染物を低減できる。またボート載置台19側に逆拡散防止体8を設ける場合には、ボートアップ・ダウンの関係上、逆拡散防止体8は、ボート載置台19よりも下側になるように配置する必要がある(図5、図6)。さらに図7に示すように、逆拡散防止体8の周縁部に、ガス導入部4の水平部4aと干渉しないように切欠き8bを設ける必要がある。また逆拡散防止体8をボート載置台19に取り付けるようにしたが、ボート載置台19自体を拡径するようにしてもよい。また、装置によっては、ボート載置台19が必ずしも炉口部空間と基板処理空間との最適な境界となるとは限らないので、境界に相応しいボート6側の他の部位に取り付けるようにしてもよい。
【0047】
また、ボート6は回転するが、反応ガスを基板処理空間20に直接導入しないためにガス導入ノズル4が水平部4aのみで構成されている場合にも、ボート載置台19側に逆拡散防止体8を設けることは可能である。逆拡散防止体8には、ボート6のアップダウンを許容する切欠き8bが必要となる。
【0048】
また、炉口部空間21の圧力を基板処理空間20の圧力よりも低く設定すると、より一層汚染物質の逆拡散を防止することができる。この場合、上述したガス排気管14と炉口排気管15とは1つのポンプに共通接続されていてもよいが、別々のポンプに接続されている方が、炉口部空間21の圧力を低く設定するうえで容易になる。
【0049】
また、上述した両実施の形態では、真空排気する際に炉口部空間21にパージガスを供給するようにしたが、炉口排気系による真空排気だけでもよい。これによってもウェハ5上にヘイズが発生しないようにすることが可能である。しかし、カーボン汚染(有機汚染)を有効に防止するためには、炉口パージを実施することが好ましい。
【0050】
また、反応管2、3にはスリム反応管を使用するとよい。ここでスリム反応管とは、標準のものと比べて、内径が小さいため必然的に反応管内壁とウェハ5との距離が短くなるものをいう。図1の一重管構造であれば、反応管2をスリムとし、図3の二重管構造であれば、内部反応管3をスリムとする。このように、反応管壁とウェハ5までの距離を短くする程、ウェハ周辺部のみ、きわだって膜厚が厚くなることを防止でき、膜厚均一性が向上する。なお、図1、及び図3〜図6の反応管2、3はスリム反応管としては記載されていない。
【0051】
また、実施の形態の具体例では、縦型装置をSiGe膜装置として説明したが、本発明はこれに限らない。ポリシリコン系の膜や酸化膜及びアニールに適用しても良く、表面処理装置、成膜装置、エピタキシャル成膜装置のように、広く基板処理装置全般に適用可能である。
【0052】
【発明の効果】
本発明によれば、炉内の炉口部空間から基板処理空間への汚染物質が拡散するのを防止する逆拡散防止体を設け、炉口部空間を排気するようにしたので、汚染のない高清浄な反応雰囲気での基板処理を行うことができる。また、炉口部空間を排気しつつ更にパージすると、汚染をより有効に排除できる。また、反応ガスを基板処理空間へ直接導入すると、汚染をより一層有効に排除できる。さらに、基板処理空間に対して炉口空間の圧力を低くすると、汚染を著しく低減できる。
【図面の簡単な説明】
【図1】実施の形態の縦型装置の反応炉(一重管構造)の概略断面図である。
【図2】実施の形態の逆拡散防止体の平面図である。
【図3】実施の形態の縦型装置の反応炉(二重管構造)の概略断面図である。
【図4】実施の形態の縦型装置の反応炉(一重管構造)の概略断面図である。
【図5】実施の形態の縦型装置の反応炉(一重管構造)の概略断面図である。
【図6】実施の形態の縦型装置の反応炉(二重管構造)の概略断面図である。
【図7】実施の形態の逆拡散防止体の平面図である。
【符号の説明】
A 反応炉
B 炉口部
2 外部反応管(反応管)
3 内部反応管
4 ノズル
5 ウェハ
6 ボート
7 炉口フランジ
8 逆拡散防止体
10 パージガス供給管
11 Oリング
13 ボート回転機構
14 ガス排気管
15 炉口排気管
19 ボート載置台
20 基板処理空間
21 炉口部空間
22 隙間

Claims (4)

  1. シールキャップで炉口を閉塞され、炉内で基板を処理する炉と、
    前記炉内のうちの炉口側の炉口部空間と、
    前記炉内のうちの基板処理空間と、
    前記基板処理空間に挿入され、前記基板を載置するボートと、
    該ボートを載置するボート載置台と、
    前記炉口部空間と前記基板処理空間との間の前記炉内壁側の第一部位と、前記ボート側若しくは前記ボート載置台側の第二部位との相互の先端がオーバラップするように設けられ、前記基板処理空間を前記炉口部空間から隔離する逆拡散防止体と、
    前記基板を処理するために前記炉内に反応ガスを導入する反応ガス導入系と、
    前記導入された反応ガスを前記基板処理空間から排気する処理排気系と、
    前記炉口部空間の圧力を前記基板処理空間の圧力よりも低くするよう前記炉口部空間を前記基板処理空間とは独立して排気する炉口排気系と
    を備えた基板処理装置。
  2. シールキャップで炉口を閉塞され、炉内で基板を処理する炉と、
    前記炉内のうちの炉口側の炉口部空間と、
    前記炉内のうちの基板処理空間と、
    前記基板処理空間に挿入され、前記基板を載置するボートと、
    該ボートを載置するボート載置台と、
    前記炉口部空間と前記基板処理空間との間の前記炉内壁側の第一部位と、前記ボート側若しくは前記ボート載置台側の第二部位との相互の先端がオーバラップするように設けられ、前記基板処理空間を前記炉口部空間から隔離する逆拡散防止体と、
    前記基板を処理するために前記炉内に反応ガスを導入する反応ガス導入系と、
    前記導入された反応ガスを前記基板処理空間から排気する処理排気系と、
    前記炉口部空間を前記基板処理空間とは独立して排気する炉口排気系と、を備え、
    前記逆拡散防止体には、前記反応ガス導入系を挿通するための挿通穴若しくは切欠きが設けられた基板処理装置。
  3. 炉内のうちの基板処理空間に、半導体基板が載置されたボートをボート載置台で載置しつつ、挿入して炉口をシールキャップで閉塞するステップと、
    前記炉内のうち、炉口側の炉口部空間と前記基板処理空間との間の前記炉内壁側の第一部位と、前記ボート側若しくは前記ボート載置台側の第二部位との相互の先端がオーバラップするように設けられた逆拡散防止体が、前記基板処理空間を前記炉口部空間から隔離するステップと、
    前記半導体基板を処理するために炉内に反応ガスを導入するステップと、前記導入された反応ガスを前記基板処理空間から排気するステップと、
    前記炉口部空間の圧力を前記基板処理空間の圧力よりも低くするよう前記炉口部空間を前記基板処理空間とは独立して排気するステップと
    を含む半導体装置の製造方法。
  4. 炉内のうちの基板処理空間に、半導体基板が載置されたボートをボート載置台で載置しつつ、挿入して炉口をシールキャップで閉塞するステップと、
    前記炉内のうち、炉口側の炉口部空間と前記基板処理空間との間の前記炉内壁側の第一部位と、前記ボート側若しくは前記ボート載置台側の第二部位との相互の先端がオーバラップするように設けられた逆拡散防止体が、前記基板処理空間を前記炉口部空間から隔離するステップと、
    前記半導体基板を処理するために前記逆拡散防止体に設けられた挿通穴若しくは切欠きを挿通する反応ガス導入系から炉内に反応ガスを導入するステップと、
    前記導入された反応ガスを前記基板処理空間から排気するステップと、
    前記炉口部空間を前記基板処理空間とは独立して排気するステップと
    を含む半導体装置の製造方法。
JP2001006690A 2001-01-15 2001-01-15 基板処理装置及び半導体装置の製造方法 Expired - Lifetime JP4633269B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001006690A JP4633269B2 (ja) 2001-01-15 2001-01-15 基板処理装置及び半導体装置の製造方法
US10/041,617 US6503079B2 (en) 2001-01-15 2002-01-10 Substrate processing apparatus and method for manufacturing semiconductor device
KR10-2002-0002039A KR100491128B1 (ko) 2001-01-15 2002-01-14 기판 처리장치 및 반도체 장치의 제조방법
TW091100452A TW541596B (en) 2001-01-15 2002-01-15 Substrate processing apparatus and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001006690A JP4633269B2 (ja) 2001-01-15 2001-01-15 基板処理装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2002217112A JP2002217112A (ja) 2002-08-02
JP4633269B2 true JP4633269B2 (ja) 2011-02-16

Family

ID=18874543

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001006690A Expired - Lifetime JP4633269B2 (ja) 2001-01-15 2001-01-15 基板処理装置及び半導体装置の製造方法

Country Status (4)

Country Link
US (1) US6503079B2 (ja)
JP (1) JP4633269B2 (ja)
KR (1) KR100491128B1 (ja)
TW (1) TW541596B (ja)

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2004075272A1 (ja) * 2003-02-21 2006-06-01 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
CN1868042A (zh) * 2003-11-20 2006-11-22 株式会社日立国际电气 半导体器件的制造方法和衬底处理装置
US7128570B2 (en) * 2004-01-21 2006-10-31 Asm International N.V. Method and apparatus for purging seals in a thermal reactor
JP4508893B2 (ja) * 2004-02-02 2010-07-21 エーエスエム インターナショナル エヌ.ヴェー. 半導体処理方法、半導体処理システム及び反応チャンバにガスを供給する方法
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
US7351057B2 (en) * 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
KR100741859B1 (ko) * 2005-12-08 2007-07-24 주식회사 테라세미콘 고온공정용 반도체 제조장치
JP2008227201A (ja) * 2007-03-14 2008-09-25 Hitachi Kokusai Electric Inc 基板処理装置
US8282733B2 (en) 2007-04-02 2012-10-09 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor apparatus
JP5237133B2 (ja) * 2008-02-20 2013-07-17 株式会社日立国際電気 基板処理装置
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
JP5222652B2 (ja) * 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5476006B2 (ja) * 2009-02-13 2014-04-23 株式会社国際電気セミコンダクターサービス 基板処理装置、基板処理装置の基板保持具の固定部及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200458311Y1 (ko) * 2009-12-24 2012-02-15 김수선 워터제트직기용 분사노즐
US8398773B2 (en) 2011-01-21 2013-03-19 Asm International N.V. Thermal processing furnace and liner for the same
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103322812B (zh) * 2013-06-26 2015-07-01 上海大学 扩散炉的炉门密封装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6307318B2 (ja) * 2014-03-24 2018-04-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102418948B1 (ko) * 2020-11-24 2022-07-11 주식회사 유진테크 기판 처리 시스템
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05151365A (ja) * 1991-11-30 1993-06-18 Toshiba Corp 複合データ入出力管理システム
JPH05291158A (ja) * 1992-04-09 1993-11-05 Tokyo Electron Tohoku Ltd 熱処理装置
JPH08162447A (ja) * 1994-12-05 1996-06-21 Fuji Electric Co Ltd 半導体製造装置
JP2000114193A (ja) * 1998-09-30 2000-04-21 Tokyo Electron Ltd 縦型熱処理装置
JP2000277432A (ja) * 1999-03-25 2000-10-06 Ulvac Japan Ltd 選択成長方法
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0658884B2 (ja) 1986-04-07 1994-08-03 日本電気株式会社 気相エピタキシヤル成長装置
US5271732A (en) * 1991-04-03 1993-12-21 Tokyo Electron Sagami Kabushiki Kaisha Heat-treating apparatus
JPH05217929A (ja) * 1992-01-31 1993-08-27 Tokyo Electron Tohoku Kk 酸化拡散処理装置
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JPH076966A (ja) * 1993-06-18 1995-01-10 Kokusai Electric Co Ltd 縦型拡散・cvd装置
JPH08148441A (ja) 1994-11-15 1996-06-07 Kokusai Electric Co Ltd 半導体製造装置の縦型炉
KR100461292B1 (ko) * 1996-10-31 2005-02-28 동경 엘렉트론 주식회사 수직형열처리장치,수직형열처리장치의분해방법및수직형열처리장치의유지보수방법
JPH10223538A (ja) 1997-02-07 1998-08-21 Tokyo Electron Ltd 縦型熱処理装置
KR100295635B1 (ko) * 1997-12-15 2001-10-24 김영환 종형산화로의자연산화막성장억제장치
JP2000068259A (ja) * 1998-08-19 2000-03-03 Tokyo Electron Ltd 熱処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05151365A (ja) * 1991-11-30 1993-06-18 Toshiba Corp 複合データ入出力管理システム
JPH05291158A (ja) * 1992-04-09 1993-11-05 Tokyo Electron Tohoku Ltd 熱処理装置
JPH08162447A (ja) * 1994-12-05 1996-06-21 Fuji Electric Co Ltd 半導体製造装置
JP2000114193A (ja) * 1998-09-30 2000-04-21 Tokyo Electron Ltd 縦型熱処理装置
JP2000277432A (ja) * 1999-03-25 2000-10-06 Ulvac Japan Ltd 選択成長方法
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置

Also Published As

Publication number Publication date
US6503079B2 (en) 2003-01-07
KR100491128B1 (ko) 2005-05-24
KR20020061523A (ko) 2002-07-24
TW541596B (en) 2003-07-11
JP2002217112A (ja) 2002-08-02
US20020094502A1 (en) 2002-07-18

Similar Documents

Publication Publication Date Title
JP4633269B2 (ja) 基板処理装置及び半導体装置の製造方法
KR100280692B1 (ko) 열처리장치 및 열처리방법
US8282737B2 (en) Substrate processing apparatus and method for manufacturing a semiconductor device
US5997651A (en) Heat treatment apparatus
US5520743A (en) Processing apparatus with means for rotating an object mounting means and a disk body located in the mounting means differently relative to each other
JP4563984B2 (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
US5246500A (en) Vapor phase epitaxial growth apparatus
JP5237133B2 (ja) 基板処理装置
US6187102B1 (en) Thermal treatment apparatus
JP4200844B2 (ja) 熱処理装置
JP2003031564A (ja) 基板処理装置及び半導体装置の製造方法
KR101108379B1 (ko) 감압 처리 장치 및 감압 처리 방법 및 압력 조정 밸브
JP3173697B2 (ja) 縦型熱処理装置
US20030175426A1 (en) Heat treatment apparatus and method for processing substrates
JP2007027426A (ja) 基板処理装置
JP2002280373A (ja) 基板処理装置
JP2002305152A (ja) 半導体基板処理装置
JP2002280374A (ja) 基板処理装置及び半導体装置の製造方法
JP3057515B2 (ja) 縦型熱処理装置
JP2004273605A (ja) 基板処理装置
JP4212753B2 (ja) 縦型熱処理装置
JP2000353665A (ja) 基板処理装置
JPS60113921A (ja) 気相反応方法および装置
JP2007115845A (ja) 基板処理装置
JP2008034462A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101019

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101109

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101117

R150 Certificate of patent or registration of utility model

Ref document number: 4633269

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131126

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term