WO2012077590A1 - 有機薄膜形成装置 - Google Patents

有機薄膜形成装置 Download PDF

Info

Publication number
WO2012077590A1
WO2012077590A1 PCT/JP2011/077898 JP2011077898W WO2012077590A1 WO 2012077590 A1 WO2012077590 A1 WO 2012077590A1 JP 2011077898 W JP2011077898 W JP 2011077898W WO 2012077590 A1 WO2012077590 A1 WO 2012077590A1
Authority
WO
WIPO (PCT)
Prior art keywords
thin film
organic thin
vacuum chamber
organic
forming apparatus
Prior art date
Application number
PCT/JP2011/077898
Other languages
English (en)
French (fr)
Inventor
大輔 大森
内田 一也
淳 宮内
Original Assignee
株式会社アルバック
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社アルバック filed Critical 株式会社アルバック
Priority to DE112011104309T priority Critical patent/DE112011104309T5/de
Priority to CN201180058990.9A priority patent/CN103249858B/zh
Priority to JP2012547820A priority patent/JP5608758B2/ja
Priority to KR1020137017127A priority patent/KR101525813B1/ko
Publication of WO2012077590A1 publication Critical patent/WO2012077590A1/ja
Priority to US13/912,425 priority patent/US20130333619A1/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases

Definitions

  • the present invention relates to an organic thin film forming apparatus, and more particularly to a technical field of forming an organic thin film by supplying an organic gas into a vacuum chamber and causing a polymerization reaction to occur on the surface of a substrate.
  • vapor deposition polymerization or ultraviolet curing.
  • the vapor deposition polymerization method and the ultraviolet curing method are both methods in which a low molecular weight organic gas is supplied into a vacuum chamber and a polymerization reaction is caused on the surface of the substrate to form a polymer organic thin film. It has the feature that the throwing power of the thin film is good.
  • the adhesion preventing plate 141 was held on.
  • the organic gas wraps around the gap and prevents deposition on the inner wall surface of the vacuum chamber 111. I could not.
  • the present invention was created to solve the above-described disadvantages of the prior art, and an object thereof is to provide an organic thin film forming apparatus capable of easily removing an organic thin film deposited on the surface of a deposition preventing plate. .
  • the present invention provides a vacuum chamber, a substrate stage disposed in the vacuum chamber, and a gas supply unit that supplies organic gas into the vacuum chamber from a supply hole exposed in the vacuum chamber. And an adhesion prevention plate attached to the inner wall surface of the vacuum chamber, and an organic thin film forming apparatus that forms an organic thin film from the organic gas on a substrate disposed on the surface of the substrate stage, A fluororesin-containing electroless nickel film is formed on the exposed surface of the deposition preventing plate, and the fluororesin-containing electroless nickel film contains 20% or more and 40% of polytetrafluoroethylene with respect to the total volume of the film.
  • An organic thin film forming apparatus containing the following volume ratio.
  • This invention is an organic thin film formation apparatus, Comprising: The back surface opposite to the said surface of the said adhesion prevention board is an organic thin film formation apparatus closely_contact
  • the present invention is an organic thin film forming apparatus, wherein the base material of the deposition preventing plate is an organic material composed of one, two or more metals selected from the group consisting of iron, stainless steel, copper alloy, and aluminum.
  • the present invention is an organic thin film forming apparatus in which the fluororesin-containing electroless nickel film is formed on the surface of the supply hole.
  • the present invention is an organic thin film forming apparatus, wherein the fluororesin-containing electroless nickel film is formed on a portion of the surface of the substrate stage around the substrate.
  • This invention is an organic thin film forming apparatus, Comprising: It is an organic thin film forming apparatus which has two or more said gas supply parts.
  • the present invention is an organic thin film forming apparatus, wherein the organic thin film is a polyurea thin film.
  • the present invention is an organic thin film forming apparatus, wherein an ultraviolet lamp that emits ultraviolet light is disposed at a position facing the surface of the substrate stage.
  • the present invention is an organic thin film forming apparatus, wherein the organic thin film is an ultraviolet curable acrylic thin film.
  • the organic thin film deposited on the deposition prevention plate can be easily removed by high-pressure cleaning, etc., the labor and time of the cleaning work can be reduced. Further, in the operation of removing the organic thin film, the fluororesin-containing electroless nickel film is not damaged, so that the replacement cost of the deposition preventing plate becomes unnecessary.
  • the internal block diagram of the organic thin film forming apparatus of the 1st example which is this invention The internal block diagram of the organic thin film forming apparatus of the 2nd example which is this invention Enlarged cross-sectional view of the tank wall and the deposition plate of the vacuum chamber (a): Conventional device (b): Device of the present invention Plan view of the end inserted into the vacuum chamber of the pipe
  • FIG. 1 shows an internal configuration diagram of the organic thin film forming apparatus 10a of the first example.
  • the organic thin film forming apparatus 10a of the first example includes a vacuum chamber 11, a substrate stage 31 disposed in the vacuum chamber 11, and first and second supply holes 25a and 25b exposed in the vacuum chamber 11.
  • 11 includes first and second gas supply units 20a and 20b for supplying organic gas.
  • a vacuum exhaust device 12 is connected to the wall surface of the vacuum chamber 11 so that the vacuum chamber 11 can be evacuated.
  • the substrate stage 31 has a predetermined position on the surface where the substrate is to be disposed, and is disposed in the vacuum chamber 11 with the surface exposed.
  • Reference numeral 35 denotes a substrate disposed at a predetermined position on the surface of the substrate stage 31.
  • the first and second gas supply units 20a and 20b include first and second storage containers 21a and 21b that can store solid or liquid organic materials, and first and second containers that heat the stored organic materials. Heating devices 22a and 22b, and first and second pipes 23a and 23b having one end connected to the first and second storage containers 21a and 21b and the other end inserted into the vacuum chamber 11 ing.
  • the opening of the edge part inserted in the vacuum chamber 11 of the 1st, 2nd piping 23a, 23b is the 1st, 2nd supply hole 25a, 25b, and the 1st, 2nd supply hole 25a, 25b is It is exposed in the vacuum chamber 11.
  • FIG. 4 shows a plan view of the end portions of the first and second pipes 23a and 23b inserted into the vacuum chamber 11.
  • the first and second supply holes 25a and 25b are configured by a large number of small-diameter holes like a shower head, but the present invention is not limited to this, and the first and second supply holes The case where the supply holes 25a and 25b have other shapes is also included.
  • the first and second storage containers 21a and 21b are disposed outside the vacuum chamber 11, and the first and second organic materials, which are organic thin film materials, are disposed therein.
  • the first and second organic materials those in which an organic thin film is formed by a co-evaporation polymerization reaction when those vapors reach the substrate 35 are used.
  • first and second heating devices 22a and 22b are linear resistance heating devices, and are wound around and attached to the outer circumferences of the first and second storage containers 21a and 21b.
  • the first and second organic materials in the storage containers 21a and 21b can be heated and evaporated.
  • the vapors of the first and second organic materials are referred to as first and second organic gases.
  • generated in the 1st, 2nd container 21a, 21b was exposed in the vacuum chamber 11 through the inside of the 1st, 2nd piping 23a, 23b. It is discharged into the vacuum chamber 11 from the first and second supply holes 25a and 25b.
  • Piping heaters 24a and 24b are wound around the first and second pipes 23a and 23b, respectively, and the first and second pipes 23a and 23b are higher than the condensation temperatures of the first and second organic gases.
  • the first and second organic gases heated to a high temperature and passing through the interior are prevented from condensing on the wall surfaces of the first and second pipes 23a and 23b.
  • An adhesion prevention plate 41 is attached to the inner wall surface of the vacuum chamber 11 in close contact.
  • the base material of the deposition preventing plate 41 is made of one or more metals selected from the group consisting of iron, stainless steel, copper alloy, and aluminum.
  • a fluororesin-containing electroless nickel film is formed.
  • the fluororesin-containing electroless nickel film contains polytetrafluoroethylene (PTFE) in a volume ratio of 20% to 40% with respect to the volume of the entire film.
  • PTFE polytetrafluoroethylene
  • An adhesionless plate 41 with a base material exposed on the surface is immersed in an electroless nickel plating solution in which PTFE is dispersed, and nickel and Co-deposit with PTFE.
  • the deposition preventing plate 41 is heat-treated in the atmosphere at 380 ° C. to 400 ° C. to firmly adhere the electroless nickel and PTFE.
  • the fluororesin-containing electroless nickel film has releasability with respect to the organic thin film, and even if the organic thin film adheres, it is possible to prevent damage to the fluororesin-containing electroless nickel film by a method such as high-pressure cleaning.
  • the organic thin film can be easily removed. If the organic thin film continues to be laminated on the surface of the deposition preventing plate 41, the surface portion of the laminated film may be peeled off, and the peeled impurities may adhere to the surface of the substrate 35. If removed, this problem can be prevented.
  • the fluororesin-containing electroless nickel film is excellent in adhesion to the base material, and is not peeled off from the base material when the organic thin film is removed. Therefore, the cost for re-forming the fluororesin-containing electroless nickel film after removing the organic thin film is unnecessary.
  • FIG. 3B is an enlarged cross-sectional view of the tank wall of the vacuum tank 11 and the deposition preventing plate 41.
  • the deposition preventing plate 41 is screwed to the vacuum chamber 11 by a screw-shaped jig 42, and the back surface opposite to the front surface of the deposition preventing plate 41 is in close contact with the inner wall surface of the vacuum chamber 11. ing. Therefore, the first and second organic gases supplied into the vacuum chamber 11 do not wrap around between the back surface of the deposition preventing plate 41 and the inner wall surface of the vacuum chamber 11, and do not enter the inner wall surface of the vacuum chamber 11. The organic thin film is not formed.
  • the base material of the first and second supply holes 25a and 25b of the first and second pipes 23a and 23b is also made of iron, stainless steel, copper alloy, and aluminum.
  • a fluorine resin-containing electroless nickel film is also formed on the surfaces of the first and second supply holes 25a and 25b. Therefore, even if an organic thin film is formed on the surface of the first and second supply holes 25a and 25b, it can be easily removed by a method such as high-pressure cleaning without damaging the fluororesin-containing electroless nickel film. It has become.
  • the first and second organic substances can be obtained by removing the organic thin film before the blocking. It is possible to prevent the gas supply flow rate from decreasing.
  • the base material around the predetermined place where the substrate 35 is to be disposed on the surface of the substrate stage 31 is either one of the group consisting of iron, stainless steel, copper alloy, and aluminum, or It consists of two or more types of materials, and a fluororesin-containing electroless nickel film is also formed on its surface. Therefore, when the organic thin film is formed on the surface of the substrate 35, the organic thin film is also formed on the surface of the substrate stage 31 around the place where the substrate 35 is to be disposed. By this method, the organic thin film can be easily removed without damaging the fluororesin-containing electroless nickel film.
  • First and second organic materials are disposed inside the first and second storage containers 21a and 21b.
  • 1,12-diaminododecane which is a diamine
  • 1,3-bis (isocyanatomethyl) cyclohexane which is a diisocyanate
  • the first and second organic materials are not limited to these as long as they undergo a co-evaporation polymerization reaction on the substrate 35.
  • MDA 4,4′-diaminodiphenylmethane
  • MDI 4,4′-Diphenylmethane diisocyanate
  • the first and second pipes 23a and 23b are heated to a temperature higher than the condensation temperature of the first and second organic gases by the first and second pipe heaters 24a and 24b.
  • the first and second organic materials are heated by the first and second heating devices 22a and 22b, the first and second organic gases are generated from the first and second organic materials, and the first The first and second organic gases are supplied into the vacuum chamber 11 from the first and second supply holes 25a and 25b through the insides of the first and second pipes 23a and 23b.
  • the supplied first and second organic gases cause a co-evaporation polymerization reaction on the surface of the substrate 35, and an organic thin film is formed on the surface of the substrate 35.
  • a polyurea thin film is formed.
  • a part of the first and second organic gases supplied into the vacuum chamber 11 causes a co-evaporation polymerization reaction on the surface of the deposition preventing plate 41, and an organic thin film is also formed on the surface of the deposition preventing plate 41. .
  • first and second organic gases may be co-deposited on the surfaces of the first and second supply holes 25 a and 25 b and the surface of the substrate stage 31 around the substrate 35. And an organic thin film is formed at each location. After the organic thin film having a predetermined thickness is formed on the surface of the substrate 35, the supply of the first and second organic gases from the first and second supply holes 25a and 25b is stopped. While maintaining the vacuum atmosphere in the vacuum chamber 11, the film-formed substrate 35 is carried out to the outside of the vacuum chamber 11, and another non-film-formed substrate 35 is carried into the vacuum chamber 11. repeat.
  • the number of substrates that can be continuously formed is Obtained in advance by tests and simulations. After the organic thin film is formed on the predetermined number of substrates 35 obtained in advance, the cleaning process of the organic thin film forming apparatus 10a of the first example is performed.
  • the vacuum exhaust device 12 After unloading the substrate 35 from the vacuum chamber 11, the vacuum exhaust device 12 is stopped and the vacuum chamber 11 is opened to the atmosphere.
  • the deposition preventing plate 41 is removed from the inner wall surface of the vacuum chamber 11 and is taken out of the vacuum chamber 11. An organic thin film is not formed on the inner wall surface of the vacuum chamber 11 where the deposition preventing plate 41 is in close contact.
  • a fluororesin-containing electroless nickel thin film is previously formed on the surface of the adhesion prevention plate 41, and the organic thin film is easily removed.
  • the method for removing the organic thin film is not limited to the high-pressure cleaning process.
  • the organic thin film can be removed using an instrument such as tweezers.
  • the high-pressure cleaning process may damage the fluororesin-containing electroless nickel film. It is preferable because it is less than the method.
  • first and second supply holes 25a and 25b side ends of the first and second pipes 23a and 23b are taken out from the vacuum chamber 11, and the organic thin film is removed by high-pressure cleaning treatment or the like.
  • a fluororesin-containing electroless nickel thin film is also formed in advance on the surfaces of the first and second supply holes 25a and 25b, and the organic thin film is easily removed.
  • the substrate stage 31 is taken out from the vacuum chamber 11, and the organic thin film is removed by high-pressure cleaning or the like.
  • a fluororesin-containing electroless nickel thin film is formed in advance on a portion of the surface of the substrate stage 31 around a predetermined position where the substrate is to be disposed, and the organic thin film is easily removed.
  • the cleaned substrate stage 31 is carried into the vacuum chamber 11 and placed at a predetermined position.
  • the first and second supply holes 25a and 25b side of the cleaned first and second pipes 23a and 23b Is inserted into the vacuum chamber 11 and attached in an airtight manner.
  • the cleaned deposition preventing plate 41 is carried into the vacuum chamber 11 and attached in close contact with the inner wall surface of the vacuum chamber 11.
  • the above film forming process is resumed.
  • the organic thin film laminated on portions other than the substrate 35 is removed, and there is no problem that the peeled organic thin film adheres to the substrate 35 as an impurity.
  • the first and second supply holes 25a and 25b are not blocked by the organic thin film, the first and second organic gases can be supplied at a constant flow rate, and an organic thin film having a constant film quality is formed on the substrate 35. Can be formed on top.
  • FIG. 2 shows an internal configuration diagram of the organic thin film forming apparatus 10b of the second example.
  • parts having the same structure as those of the organic thin film forming apparatus 10a of the first example are given the same reference numerals.
  • the organic thin film forming apparatus 10 b of the second example supplies an organic gas into the vacuum chamber 11 from the vacuum chamber 11, the substrate stage 31 disposed in the vacuum chamber 11, and the supply hole 25 exposed in the vacuum chamber 11. And a gas supply unit 20.
  • the organic thin film forming apparatus 10b of the second example has one gas supply unit 20 instead of the first and second gas supply units 20a and 20b of the organic thin film forming apparatus 10a of the first example.
  • the organic thin film forming apparatus 10b of the second example has an ultraviolet lamp 17 that emits ultraviolet rays.
  • the gas supply unit 20 includes a storage container 21 that can store a solid or liquid organic material, a heating device 22 that heats the stored organic material, one end connected to the storage container 21, and the other end in the vacuum chamber 11. And a pipe 23 inserted into the pipe.
  • the supply hole 25 is exposed in the vacuum chamber 11.
  • the supply hole 25 is composed of a large number of small-diameter holes like a shower head.
  • the present invention is not limited to this and includes other shapes. .
  • the container 21 is disposed outside the vacuum chamber 11, and an organic material that is an organic thin film material is disposed inside the container 21.
  • an organic material a material in which an organic thin film is formed by curing when the liquid film is irradiated with ultraviolet rays is used.
  • the heating device 22 is a linear resistance heating device, and is wound around and attached to the outer periphery of the container 21 so that the organic material in the container 21 can be heated and evaporated.
  • the vapor of the organic material is referred to as organic gas.
  • the organic gas generated in the storage container 21 passes through the inside of the pipe 23 and is released into the vacuum chamber 11 from the supply hole 25 exposed in the vacuum chamber 11.
  • a pipe heater 24 is wound around the pipe 23, and the pipe 23 is heated to a temperature higher than the condensation temperature of the organic gas so that the organic gas passing through the pipe 23 does not deposit on the wall surface of the pipe 23.
  • a transmission window 18 that transmits ultraviolet rays is provided in a portion of the tank wall of the vacuum chamber 11 that faces the surface of the substrate stage 31.
  • the material of the transmission window 18 is, for example, quartz.
  • the ultraviolet lamp 17 is disposed outside the vacuum chamber 11 at a position facing the transmission window 18. When the ultraviolet lamp 17 emits ultraviolet light, the emitted ultraviolet light passes through the transmission window 18 and is vacuumed. The inside of the tank 11 is irradiated. In the present embodiment, the ultraviolet lamp 17 is disposed outside the vacuum chamber 11, but the ultraviolet lamp 17 may be disposed inside the vacuum chamber 11 and the transmission window 18 may be omitted.
  • the ultraviolet lamp 17 may be stationary at a position facing the surface of the stage 31, or may be configured to reciprocate within a plane facing the surface of the substrate stage 31.
  • An adhesion prevention plate 41 is attached to the inner wall surface of the vacuum chamber 11 in close contact. The structure of the deposition preventing plate 41 is the same as the deposition preventing plate 41 of the organic thin film forming apparatus 10a of the first example, and the description thereof is omitted.
  • the structure of the portion of the supply hole 25 in the pipe 23 is also the first and second supply holes 25a and 25b of the first and second pipes 23a and 23b in the organic thin film forming apparatus 10a of the first example. Since this is the same as the above part, the description is omitted. Furthermore, the structure of the portion around the predetermined place where the substrate 35 is to be disposed on the surface of the substrate stage 31 is the same as that of the substrate stage 31 of the organic thin film forming apparatus 10a of the first example, and the description thereof is omitted.
  • the substrate 35 While maintaining the vacuum atmosphere in the vacuum chamber 11, the substrate 35 is carried into the vacuum chamber 11, and is arranged at a predetermined position surrounded by the fluororesin-containing electroless nickel film on the surface of the substrate stage 31. .
  • An organic material is disposed inside the container 21.
  • an ultraviolet curable acrylic monomer or oligomer is used as the organic material.
  • a photopolymerization initiator may be added to the organic material.
  • the pipe 23 is heated by the pipe heater 24 to a temperature higher than the condensation temperature of the organic gas.
  • the organic material is heated by the heating device 22, an organic gas is generated from the organic material, and the generated organic gas is supplied from the supply hole 25 into the vacuum chamber 11 through the inside of the pipe 23.
  • the supplied organic gas adheres to the surface of the substrate 35 and condenses to form a liquid film.
  • a part of the organic gas adheres to the surface of the deposition preventing plate 41 and condenses to form a liquid film.
  • a part of the organic gas adheres to and condenses on the surface of the supply hole 25 and the portion of the surface of the substrate stage 31 around the substrate 35 to form a liquid film.
  • the supply of the organic gas from the supply hole 25 is stopped. While maintaining the vacuum atmosphere in the vacuum chamber 11, ultraviolet rays are emitted from the ultraviolet lamp 17. The emitted ultraviolet light passes through the transmission window 18 and enters the vacuum chamber 11. Part of the ultraviolet light that has entered the vacuum chamber 11 is incident on the surface of the substrate 35, and a liquid film made of an organic material formed on the surface of the substrate 35 undergoes a photopolymerization reaction to be cured. An organic thin film is formed. In this embodiment, an acrylic resin thin film is formed.
  • a part of the ultraviolet rays is incident on the surface of the deposition preventing plate 41, causes a photopolymerization reaction to cure on the liquid film made of an organic material formed on the surface of the deposition preventing plate 41, and the surface of the deposition preventing plate 41.
  • An organic thin film is also formed.
  • a part of the ultraviolet light that has entered the vacuum chamber 11 is also incident on the surface of the supply hole 25 and the surface of the substrate stage 31 around the substrate 35, and the organic material formed at each location.
  • a liquid film composed of a polymerization reaction is caused to harden and an organic thin film is formed at each location.
  • the emission of ultraviolet rays from the ultraviolet lamp 17 is stopped. While maintaining the vacuum atmosphere in the vacuum chamber 11, the film-formed substrate 35 is carried out to the outside of the vacuum chamber 11, and another non-film-formed substrate 35 is carried into the vacuum chamber 11. repeat.
  • the cleaning process of the organic thin film forming apparatus 10b of the second example is performed.
  • the cleaning process of the organic thin film forming apparatus 10b of the second example is the same as the cleaning process of the organic thin film forming apparatus 10a of the first example, and description thereof is omitted.
  • the first and second organic thin film forming apparatuses 10a and 10b include the surface of the deposition preventing plate 41, the surface of the first and second supply holes 25a and 25b, the surface of the supply hole 25, and the substrate stage 31.
  • a heater (not shown) that heats a portion of the surface around a predetermined place where the substrate 35 is to be disposed may be provided.
  • the surface of the deposition preventing plate 41, the surface of the first and second supply holes 25 a and 25 b or the surface of the supply hole 25, and the substrate stage by a heater (not shown) If the portion around the surface where the substrate 35 is to be placed is heated to a temperature higher than the condensation temperature of the organic gas, the amount of organic gas attached itself can be reduced, and the surface of 31 can be cleaned. The number of substrates that can be continuously formed before the process is performed can be increased. In the drawings of FIGS. 1 and 2, only one film forming set including the substrate stage 31 and the supply ports 25 a, 25 b, or 25 is arranged in the vacuum chamber 11, but the present invention is not limited to this, Two or more sets may be arranged.
  • one ultraviolet lamp 18 is provided on the surface of each substrate stage 31 with a configuration in which different ultraviolet lamps 18 are provided for each film forming group. It is preferable at low cost that it can be moved to the position facing each other.
  • another film formation is performed while the photoreactive organic material is attached to the surface of one substrate 35 in one film formation group. If the surface of the other substrate 35 is irradiated with light in pairs, the production efficiency of the organic thin film can be improved.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

【課題】防着板の表面に着膜した有機薄膜を容易に除去できる有機薄膜形成装置を提供する。 【解決手段】 真空槽と、真空槽内に配置された基板ステージと、真空槽内に露出する供給孔から真空槽内に有機物ガスを供給するガス供給部と、真空槽の内壁面に取り付けられた防着板とを有し、基板ステージの表面に配置された基板に、有機物ガスから有機薄膜を形成する有機薄膜形成装置であって、防着板の露出する表面には、膜全体の容積に対して、ポリテトラフルオロエチレンを20%以上40%以下の容積比で含有するフッ素樹脂含有無電解ニッケル膜が形成されている。フッ素樹脂含有無電解ニッケル膜は有機薄膜に対して離型性を有しており、有機薄膜が付着しても、高圧洗浄等の方法で有機薄膜を容易に除去できる。

Description

有機薄膜形成装置
 本発明は、有機薄膜形成装置に係り、特に有機物ガスを真空槽内に供給し、基板の表面で有機物ガスに重合反応を起こして、有機薄膜を形成する技術分野に関する。
 現在、高分子有機物からなる有機薄膜の多くは、蒸着重合法や紫外線硬化法により形成されている。蒸着重合法と紫外線硬化法は、どちらも低分子の有機物ガスを真空槽内に供給し、基板の表面で有機物ガスに重合反応を起こして、高分子の有機薄膜を形成する方法であり、有機薄膜のつきまわり性が良いという特徴がある。
 従来の有機薄膜形成装置では、図3(a)を参照し、真空槽111の内壁面への有機薄膜の着膜を防ぐために、真空槽111の内壁面に固定された支持具(ブラケット)142に防着板141を保持させていた。しかしながら、内壁面と防着板141との間に隙間が形成されていたため、蒸着重合法と紫外線硬化法では、有機物ガスがこの隙間に回り込み、真空槽111の内壁面への着膜を防ぐことができなかった。
 また、従来の有機薄膜形成装置では、内部に着膜してしまった有機薄膜を剥離することは困難であり、ブラスト処理や、酸又はアルカリの薬品と接触させる方法により、有機薄膜の除去作業が行われていた。しかしながら、ブラスト処理では、母材に変形が生じたり、母材に表面処理がされている場合には、表面処理が剥がれるため、表面処理をし直す必要があり、コストが高いという問題があった。また、酸又はアルカリの薬品と接触させる方法では、母材が溶けるおそれがあった。
特許第4112702号公報
 本発明は上記従来技術の不都合を解決するために創作されたものであり、その目的は、防着板の表面に着膜した有機薄膜を容易に除去できる有機薄膜形成装置を提供することにある。
 上記課題を解決するために本発明は、真空槽と、前記真空槽内に配置された基板ステージと、前記真空槽内に露出する供給孔から前記真空槽内に有機物ガスを供給するガス供給部と、前記真空槽の内壁面に取り付けられた防着板と、を有し、前記基板ステージの表面に配置された基板に、前記有機物ガスから有機薄膜を形成する有機薄膜形成装置であって、前記防着板の露出する表面には、フッ素樹脂含有無電解ニッケル膜が形成され、前記フッ素樹脂含有無電解ニッケル膜は、膜全体の容積に対して、ポリテトラフルオロエチレンを20%以上40%以下の容積比で含有する有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記防着板の前記表面とは逆の裏面は、前記真空槽の内壁面に密着された有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記防着板の母材は、鉄と、ステンレスと、銅合金と、アルミとからなる群のうちいずれか一種類又は二種類以上の金属から成る有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記供給孔の表面には前記フッ素樹脂含有無電解ニッケル膜が形成された有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記基板ステージの表面のうち、前記基板の周囲の部分には、前記フッ素樹脂含有無電解ニッケル膜が形成された有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記ガス供給部を二個以上有する有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記有機薄膜はポリ尿素の薄膜である有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記基板ステージの表面と対面する位置には、紫外線を放出する紫外線ランプが配置された有機薄膜形成装置である。
 本発明は有機薄膜形成装置であって、前記有機薄膜は紫外線硬化型のアクリルの薄膜である有機薄膜形成装置である。
 防着板に着膜した有機薄膜を高圧洗浄などで容易に除去できるため、洗浄作業の手間と時間を低減できる。また、有機薄膜の除去作業では、フッ素樹脂含有無電解ニッケル膜は損傷しないので、防着板の交換コストが不要になる。
本発明である第一例の有機薄膜形成装置の内部構成図 本発明である第二例の有機薄膜形成装置の内部構成図 真空槽の槽壁と防着板の拡大断面図 (a):従来の装置 (b):本発明の装置 配管の真空槽内に挿入された端部の平面図
<第一例の有機薄膜形成装置の構造>
 本発明である第一例の有機薄膜形成装置の構造を説明する。
 図1は、第一例の有機薄膜形成装置10aの内部構成図を示している。
 第一例の有機薄膜形成装置10aは、真空槽11と、真空槽11内に配置された基板ステージ31と、真空槽11内に露出する第一、第二の供給孔25a、25bから真空槽11内に有機物ガスを供給する第一、第二のガス供給部20a、20bとを有している。
 真空槽11の壁面には真空排気装置12が接続され、真空槽11内を真空排気できるように構成されている。
 基板ステージ31は、表面に基板が配置されるべき位置が予め定められており、その表面が露出された状態で、真空槽11内に配置されている。符号35は基板ステージ31の表面の所定位置に配置された基板を示している。
 第一、第二のガス供給部20a、20bは、固体又は液体の有機物材料を収容できる第一、第二の収容容器21a、21bと、収容された有機物材料を加熱する第一、第二の加熱装置22a、22bと、一端が第一、第二の収容容器21a、21b内に接続され、他端が真空槽11内に挿入された第一、第二の配管23a、23bとを有している。
 第一、第二の配管23a、23bの真空槽11内に挿入された端部の開口が第一、第二の供給孔25a、25bであり、第一、第二の供給孔25a、25bは真空槽11内に露出されている。
 図4は、第一、第二の配管23a、23bの真空槽11内に挿入された端部の平面図を示している。本実施例では、第一、第二の供給孔25a、25bは、シャワーヘッドのように多数の小径の孔から構成されているが、本発明はこれに限定されず、第一、第二の供給孔25a、25bが他の形状の場合も含まれる。
 第一、第二の収容容器21a、21bは、真空槽11の外側に配置され、内部には、有機薄膜の材料である第一、第二の有機物材料が配置されている。第一、第二の有機物材料には、それらの蒸気が基板35上に到達すると共蒸着重合反応して有機薄膜が形成されるものが用いられる。
 第一、第二の加熱装置22a、22bは、ここでは線状の抵抗加熱装置であり、第一、第二の収容容器21a、21bの外周に巻き回されて取り付けられ、第一、第二の収容容器21a、21b内の第一、第二の有機物材料を加熱して、蒸発できるようになっている。以下では、第一、第二の有機物材料の蒸気を第一、第二の有機物ガスと呼ぶ。
 第一、第二の収容容器21a、21b内で生成された第一、第二の有機物ガスは、第一、第二の配管23a、23bの内部を通って、真空槽11内に露出された第一、第二の供給孔25a、25bから、真空槽11内に放出される。
 第一、第二の配管23a、23bには、それぞれ配管用ヒーター24a、24bが巻き付けられており、第一、第二の配管23a、23bは第一、第二の有機物ガスの凝縮温度よりも高い温度に加熱され、内部を通る第一、第二の有機物ガスが、第一、第二の配管23a、23bの壁面で凝縮しないようになっている。
 真空槽11の内壁面には、防着板41が密着して取り付けられている。
 防着板41の母材は、鉄と、ステンレスと、銅合金と、アルミとからなる群のうちいずれか一種類又は二種類以上の金属から成り、防着板41の露出する表面には、フッ素樹脂含有無電解ニッケル膜が形成されている。フッ素樹脂含有無電解ニッケル膜は、膜全体の容積に対して、ポリテトラフルオロエチレン(PTFE)を20%以上40%以下の容積比で含有するものであり、本実施例では、アルバックテクノ株式会社のニフグリップ(登録商標)が用いられる。
 フッ素樹脂含有無電解ニッケル膜の形成方法を説明すると、PTFEを分散させた無電解ニッケルめっき液に、表面に母材が露出した防着板41を浸漬させ、防着板41の表面にニッケルとPTFEとを共析させる。次いで、防着板41を大気中において380℃~400℃で熱処理して、無電解ニッケルとPTFEとを強固に密着させる。
 フッ素樹脂含有無電解ニッケル膜は、有機薄膜に対して離型性を有しており、有機薄膜が付着しても、高圧洗浄等の方法で、フッ素樹脂含有無電解ニッケル膜を損傷させずに、有機薄膜を容易に除去できるようになっている。仮に防着板41の表面に有機薄膜が積層され続けると、積層膜の表面部分が剥離して、剥離した不純物が基板35の表面に付着するおそれがあるが、剥離が生じる前に有機薄膜を除去すれば、この問題を予防できる。
 また、フッ素樹脂含有無電解ニッケル膜は、母材に対して接着性に優れており、有機薄膜を除去する際に、母材から剥がれないようになっている。そのため、有機薄膜の除去を行った後に、フッ素樹脂含有無電解ニッケル膜を形成し直すコストが不要である。
 図3(b)は、真空槽11の槽壁と防着板41の拡大断面図である。本実施例では、防着板41は、ネジ形状の治具42により真空槽11に対してネジ止めされ、防着板41の表面とは逆の裏面は、真空槽11の内壁面に密着されている。そのため、真空槽11内に供給された第一、第二の有機物ガスは、防着板41の裏面と真空槽11の内壁面との間に回りこむことはなく、真空槽11の内壁面には有機薄膜が形成されないようになっている。
 本実施例では、第一、第二の配管23a、23bのうち第一、第二の供給孔25a、25bの部分の母材も、鉄と、ステンレスと、銅合金と、アルミとからなる群のうちいずれか一種類又は二種類以上の材料から成り、第一、第二の供給孔25a、25bの表面にも、フッ素樹脂含有無電解ニッケル膜が形成されている。そのため、第一、第二の供給孔25a、25bの表面に有機薄膜が形成されても、高圧洗浄等の方法で、フッ素樹脂含有無電解ニッケル膜を損傷させずに、容易に除去できるようになっている。
 従って、第一、第二の供給孔25a、25bの口径が小さくて、形成される有機薄膜により閉塞されやすい場合でも、閉塞される前に有機薄膜を除去すれば、第一、第二の有機物ガスの供給流量が減少することを予防できる。
 さらに、基板ステージ31の表面のうち、基板35が配置されるべき所定場所の周囲の部分の母材も、鉄と、ステンレスと、銅合金と、アルミとからなる群のうちいずれか一種類又は二種類以上の材料から成り、その表面にも、フッ素樹脂含有無電解ニッケル膜が形成されている。そのため、基板35の表面に有機薄膜が形成される際には、基板ステージ31の表面のうち、基板35が配置されるべき場所の周囲の部分にも有機薄膜が形成されるが、高圧洗浄等の方法で、フッ素樹脂含有無電解ニッケル膜を損傷させずに、有機薄膜を容易に除去できるようになっている。
<第一例の有機薄膜形成方法>
 第一例の有機薄膜形成装置10aを用いた有機薄膜形成方法を説明する。
(成膜工程)
 真空排気装置12により真空槽11内を真空排気し、真空雰囲気を形成する。以後、真空排気を継続して真空雰囲気を維持する。
 真空槽11内の真空雰囲気を維持しながら、真空槽11内に基板35を搬入し、基板ステージ31の表面のうち、フッ素樹脂含有無電解ニッケル膜で周囲を囲まれた所定の位置に配置する。
 第一、第二の収容容器21a、21bの内部に、第一、第二の有機物材料を配置する。本実施例では、第一の有機物材料として、ジアミンである1,12-ジアミノドデカンを使用し、第二の有機物材料として、ジイソシアネートである1,3-ビス(イソシアナートメチル)シクロヘキサンを使用する。ただし、第一、第二の有機物材料は、基板35上で共蒸着重合反応するものであればこれらに限定されず、例えばジアミンである4,4’-ジアミノジフェニルメタン(MDA)と、ジイソシアネートである4,4’-ジフェニルメタンジイソシアネート(MDI)とを使用してもよい。
 第一、第二の配管23a、23bを第一、第二の配管用ヒーター24a、24bにより、第一、第二の有機物ガスの凝縮温度よりも高い温度に加熱しておく。
 第一、第二の加熱装置22a、22bにより、第一、第二の有機物材料を加熱すると、第一、第二の有機物材料から第一、第二の有機物ガスが生成され、生成された第一、第二の有機物ガスは第一、第二の配管23a、23bの内部を通って、第一、第二の供給孔25a、25bから真空槽11内に供給される。
 供給された第一、第二の有機物ガスは、基板35の表面で共蒸着重合反応を起こし、基板35の表面に有機薄膜が形成される。本実施例では、ポリ尿素の薄膜が形成される。 
 真空槽11内に供給された第一、第二の有機物ガスの一部は、防着板41の表面でも共蒸着重合反応を起こして、防着板41の表面にも有機薄膜が形成される。
 また、第一、第二の有機物ガスの一部は、第一、第二の供給孔25a、25bの表面と、基板ステージ31の表面のうち、基板35の周囲の部分とでも共蒸着重合反応を起こして、それぞれの場所で有機薄膜が形成される。
 基板35の表面に所定の膜厚の有機薄膜が形成された後、第一、第二の供給孔25a、25bからの第一、第二の有機物ガスの供給を停止する。
 真空槽11内の真空雰囲気を維持しながら、成膜済みの基板35を真空槽11の外側に搬出し、別の未成膜の基板35を真空槽11内に搬入して、上述の成膜工程を繰り返す。
(洗浄工程)
 基板35以外の部分に積層された有機薄膜が剥離する前で、かつ第一、第二の供給孔25a、25bが有機薄膜により閉塞される前に、連続して成膜できる基板の枚数を、試験やシミュレーションにより予め求めておく。
 予め求めておいた所定枚数の基板35に有機薄膜を形成した後、第一例の有機薄膜形成装置10aの洗浄工程を行う。
 真空槽11内から基板35を搬出した後、真空排気装置12を停止し、真空槽11内を大気に開放する。
 防着板41を真空槽11の内壁面から取り外し、真空槽11の外側に取り出す。真空槽11の内壁面のうち、防着板41が密着されていた部分には有機薄膜は形成されていない。
 取り出した防着板41に水を高圧で吹き付ける高圧洗浄処理を行うと、防着板41の表面には予めフッ素樹脂含有無電解ニッケル薄膜が形成されており、有機薄膜は容易に除去される。なお、有機薄膜の除去方法は高圧洗浄処理に限定されず、例えば、ピンセット等の器具を用いて除去することもできるが、高圧洗浄処理ではフッ素樹脂含有無電解ニッケル膜を損傷するおそれが他の方法より少ないため好ましい。
 また、第一、第二の配管23a、23bの第一、第二の供給孔25a、25b側の端部を真空槽11内から取り出し、高圧洗浄処理などにより、有機薄膜を除去する。第一、第二の供給孔25a、25bの表面にも予めフッ素樹脂含有無電解ニッケル薄膜が形成されており、有機薄膜は容易に除去される。
 さらに、基板ステージ31を真空槽11内から取り出し、高圧洗浄処理などにより、有機薄膜を除去する。基板ステージ31の表面のうち、基板が配置されるべき所定位置の周囲の部分にも予めフッ素樹脂含有無電解ニッケル薄膜が形成されており、有機薄膜は容易に除去される。
 次いで、洗浄済みの基板ステージ31を真空槽11内に搬入して、所定位置に設置し、洗浄済みの第一、第二の配管23a、23bの第一、第二の供給孔25a、25b側の端部を真空槽11内に挿入して、気密に取り付ける。
 洗浄済みの防着板41を真空槽11内に搬入し、真空槽11の内壁面に密着して取り付ける。
 次いで、上述の成膜工程を再開する。基板35以外の部分に積層された有機薄膜は除去されており、剥離した有機薄膜が不純物として基板35に付着する問題は生じない。また、第一、第二の供給孔25a、25bも有機薄膜により閉塞されることはないので、第一、第二の有機物ガスを一定の流量で供給でき、一定の膜質の有機薄膜を基板35上に形成できる。
<第二例の有機薄膜形成装置の構造>
 本発明である第二例の有機薄膜形成装置の構造を説明する。
 図2は、第二例の有機薄膜形成装置10bの内部構成図を示している。第二例の有機薄膜形成装置10bのうち、上述の第一例の有機薄膜形成装置10aと構造が同じ部分には、同じ符号を付している。
 第二例の有機薄膜形成装置10bは、真空槽11と、真空槽11内に配置された基板ステージ31と、真空槽11内に露出する供給孔25から真空槽11内に有機物ガスを供給するガス供給部20とを有している。
 すなわち、第二例の有機薄膜形成装置10bは、第一例の有機薄膜形成装置10aの第一、第二のガス供給部20a、20bの替わりに、ガス供給部20を一つ有している。また、第二例の有機薄膜形成装置10bは、紫外線を放出する紫外線ランプ17を有している。
 第一例の有機薄膜形成装置10aと構造が同じ部分は、説明を省略する。
 ガス供給部20は、固体又は液体の有機物材料を収容できる収容容器21と、収容された有機物材料を加熱する加熱装置22と、一端が収容容器21内に接続され、他端が真空槽11内に挿入された配管23とを有している。
 配管23の真空槽11内に挿入された端部の開口を供給孔25と呼ぶと、供給孔25は真空槽11内に露出されている。本実施例では、図4を参照し、供給孔25は、シャワーヘッドのように多数の小径の孔から構成されているが、本発明はこれに限定されず、他の形状の場合も含まれる。
 収容容器21は、真空槽11の外側に配置され、内部には、有機薄膜の材料である有機物材料が配置されている。有機物材料には、その液状膜に紫外線が照射されると硬化して有機薄膜が形成されるものが用いられる。
 加熱装置22は、ここでは線状の抵抗加熱装置であり、収容容器21の外周に巻き回されて取り付けられ、収容容器21内の有機物材料を加熱して、蒸発できるようになっている。以下では、有機物材料の蒸気を有機物ガスと呼ぶ。
 収容容器21内で生成された有機物ガスは、配管23の内部を通って、真空槽11内に露出された供給孔25から、真空槽11内に放出される。
 配管23には、配管用ヒーター24が巻き付けられており、配管23は有機物ガスの凝縮温度よりも高い温度に加熱され、内部を通る有機物ガスが、配管23の壁面に析出しないようになっている。
 真空槽11の槽壁のうち、基板ステージ31の表面と対面する部分には、紫外線を透過する透過窓18が設けられている。透過窓18の材質は例えば石英である。
 紫外線ランプ17は、真空槽11の外側のうち、透過窓18と対面する位置に配置されており、紫外線ランプ17から紫外線を放出させると、放出された紫外線は透過窓18を透過して、真空槽11の内部に照射されるようになっている。
 なお、本実施形態では紫外線ランプ17は真空槽11の外側に配置されていたが、紫外線ランプ17が真空槽11の内側に配置され、透過窓18が省略されていてもよい。また、紫外線ランプ17はステージ31の表面と対面する位置に静止されていてもよいし、基板ステージ31の表面と対面する平面内で往復移動できるように構成されていてもよい。
 真空槽11の内壁面には、防着板41が密着して取り付けられている。防着板41の構造は、第一例の有機薄膜形成装置10aの防着板41と同じであり、説明を省略する。
 本実施例では、配管23のうち供給孔25の部分の構造も、第一例の有機薄膜形成装置10aにおける第一、第二の配管23a、23bの第一、第二の供給孔25a、25bの部分と同じであり、説明を省略する。
 さらに、基板ステージ31の表面のうち、基板35が配置されるべき所定場所の周囲の部分の構造も、第一例の有機薄膜形成装置10aの基板ステージ31と同じであり、説明を省略する。
<第二例の有機薄膜形成方法>
 本発明の第二例の有機薄膜形成装置10bを用いた有機薄膜形成方法を説明する。
(成膜工程)
 真空排気装置12により真空槽11内を真空排気し、真空雰囲気を形成する。以後、真空排気を継続して真空雰囲気を維持する。
 真空槽11内の真空雰囲気を維持しながら、真空槽11内に基板35を搬入し、基板ステージ31の表面のうち、フッ素樹脂含有無電解ニッケル膜で周囲を囲まれた所定の位置に配置する。
 収容容器21の内部に、有機物材料を配置する。本実施例では、有機物材料として、紫外線硬化型のアクリルモノマー又はオリゴマーを使用する。有機物材料には光重合開始剤を添加してもよい。
 配管23を配管用ヒーター24により、有機物ガスの凝縮温度よりも高い温度に加熱しておく。
 加熱装置22により、有機物材料を加熱すると、有機物材料から有機物ガスが生成され、生成された有機物ガスは配管23の内部を通って、供給孔25から真空槽11内に供給される。
 供給された有機物ガスは、基板35の表面に付着して凝縮し、液状膜が形成される。また、有機物ガスの一部は、防着板41の表面にも付着して凝縮し、液状膜が形成される。さらに、有機物ガスの一部は、供給孔25の表面と、基板ステージ31の表面のうち、基板35の周囲の部分とにも付着して凝縮し、液状膜が形成される。
 基板35の表面に所定の厚みの液状膜が形成された後、供給孔25からの有機物ガスの供給を停止する。
 真空槽11内の真空雰囲気を維持しながら、紫外線ランプ17から紫外線を放出させる。放出された紫外線は透過窓18を透過して真空槽11内に進入する。
 真空槽11内に進入した紫外線の一部は基板35の表面に入射して、基板35の表面に形成された有機物材料から成る液状膜に光重合反応を起こして硬化させ、基板35の表面に有機薄膜が形成される。本実施例ではアクリル樹脂の薄膜が形成される。
 また、紫外線の一部は防着板41の表面に入射して、防着板41の表面に形成された有機物材料から成る液状膜に光重合反応を起こして硬化させ、防着板41の表面にも有機薄膜が形成される。
 さらに、真空槽11内に進入した紫外線の一部は、供給孔25の表面と、基板ステージ31の表面のうち基板35の周囲の部分にも入射して、それぞれの場所に形成された有機物材料から成る液状膜に重合反応を起こして硬化させ、それぞれの場所で有機薄膜が形成される。
 基板35の表面に有機薄膜が形成された後、紫外線ランプ17からの紫外線の放出を停止する。
 真空槽11内の真空雰囲気を維持しながら、成膜済みの基板35を真空槽11の外側に搬出し、別の未成膜の基板35を真空槽11内に搬入して、上述の成膜工程を繰り返す。
(洗浄工程)
 基板35以外の部分に積層された有機薄膜が剥離する前で、かつ供給孔25が有機薄膜により閉塞される前に、連続して成膜できる基板の枚数を、試験やシミュレーションにより予め求めておく。
 予め求めておいた所定枚数の基板35に有機薄膜を形成した後、第二例の有機薄膜形成装置10bの洗浄工程を行う。
 第二例の有機薄膜形成装置10bの洗浄工程は、第一例の有機薄膜形成装置10aの洗浄工程と同様であり、説明を省略する。
 なお、第一、第二の有機薄膜形成装置10a、10bは、防着板41の表面と、第一、第二の供給孔25a、25bの表面又は供給孔25の表面と、基板ステージ31の表面のうち、基板35が配置されるべき所定場所の周囲の部分を加熱する不図示のヒーターを有していてもよい。
 真空槽11内に有機物ガスを供給する前に、不図示のヒーターにより、防着板41の表面と、第一、第二の供給孔25a、25bの表面又は供給孔25の表面と、基板ステージ31の表面のうち、基板35が配置されるべき所定場所の周囲の部分とを有機物ガスの凝縮温度より高い温度に加熱しておけば、有機物ガスの付着量そのものを減少させることができ、洗浄工程を行うまでに連続して成膜できる基板の枚数を増やすことができる。
 図1、2の図面上では、真空槽11内に基板ステージ31と供給口25a、25b又は25とからなる成膜組は一組だけ配置されていたが、本発明はこれに限定されず、二組以上配置されていてもよい。
 第二例の有機薄膜形成装置10bが成膜組を二組以上有する場合には、各成膜組ごとにそれぞれ異なる紫外線ランプ18を設ける構成より、一個の紫外線ランプ18を各基板ステージ31の表面と対面する位置にそれぞれ移動できるように構成する方が、低コストで好ましい。
 第二例の有機薄膜形成装置10bが成膜組を二組以上有する場合には、一の成膜組で一の基板35の表面に光反応性の有機物材料を付着中に、他の成膜組で他の基板35の表面に光照射を行えば、有機薄膜の生産効率を向上できる。
 10a、10b……有機薄膜形成装置
 11……真空槽
 20a、20b、20……ガス供給部
 25a、25b、25……供給孔
 31……基板ステージ
 35……基板
 41……防着板

Claims (9)

  1.  真空槽と、
     前記真空槽内に配置された基板ステージと、
     前記真空槽内に露出する供給孔から前記真空槽内に有機物ガスを供給するガス供給部と、
     前記真空槽の内壁面に取り付けられた防着板と、
     を有し、前記基板ステージの表面に配置された基板に、前記有機物ガスから有機薄膜を形成する有機薄膜形成装置であって、
     前記防着板の露出する表面には、フッ素樹脂含有無電解ニッケル膜が形成され、
     前記フッ素樹脂含有無電解ニッケル膜は、膜全体の容積に対して、ポリテトラフルオロエチレンを20%以上40%以下の容積比で含有する有機薄膜形成装置。
  2.  前記防着板の前記表面とは逆の裏面は、前記真空槽の内壁面に密着された請求項1記載の有機薄膜形成装置。
  3.  前記防着板の母材は、鉄と、ステンレスと、銅合金と、アルミとからなる群のうちいずれか一種類又は二種類以上の金属から成る請求項1又は請求項2のいずれか1項記載の有機薄膜形成装置。
  4.  前記供給孔の表面には前記フッ素樹脂含有無電解ニッケル膜が形成された請求項1乃至請求項3のいずれか1項記載の有機薄膜形成装置。
  5.  前記基板ステージの表面のうち、前記基板の周囲の部分には、前記フッ素樹脂含有無電解ニッケル膜が形成された請求項1乃至請求項4のいずれか1項記載の有機薄膜形成装置。
  6.  前記ガス供給部を二個以上有する請求項1乃至請求項5のいずれか1項記載の有機薄膜形成装置。
  7.  前記有機薄膜はポリ尿素の薄膜である請求項6記載の有機薄膜形成装置。
  8.  前記基板ステージの表面と対面する位置には、紫外線を放出する紫外線ランプが配置された請求項1乃至請求項5のいずれか1項記載の有機薄膜形成装置。
  9.  前記有機薄膜は紫外線硬化型のアクリルの薄膜である請求項8記載の有機薄膜形成装置。
PCT/JP2011/077898 2010-12-09 2011-12-02 有機薄膜形成装置 WO2012077590A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE112011104309T DE112011104309T5 (de) 2010-12-09 2011-12-02 Vorrichtung zum Bilden einer organischen Dünnschicht
CN201180058990.9A CN103249858B (zh) 2010-12-09 2011-12-02 有机薄膜形成装置
JP2012547820A JP5608758B2 (ja) 2010-12-09 2011-12-02 有機薄膜形成装置
KR1020137017127A KR101525813B1 (ko) 2010-12-09 2011-12-02 유기 박막 형성 장치
US13/912,425 US20130333619A1 (en) 2010-12-09 2013-06-07 Organic thin film forming apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010-274523 2010-12-09
JP2010274523 2010-12-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/912,425 Continuation US20130333619A1 (en) 2010-12-09 2013-06-07 Organic thin film forming apparatus

Publications (1)

Publication Number Publication Date
WO2012077590A1 true WO2012077590A1 (ja) 2012-06-14

Family

ID=46207079

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2011/077898 WO2012077590A1 (ja) 2010-12-09 2011-12-02 有機薄膜形成装置

Country Status (7)

Country Link
US (1) US20130333619A1 (ja)
JP (1) JP5608758B2 (ja)
KR (1) KR101525813B1 (ja)
CN (1) CN103249858B (ja)
DE (1) DE112011104309T5 (ja)
TW (1) TWI568866B (ja)
WO (1) WO2012077590A1 (ja)

Cited By (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103230858A (zh) * 2013-05-03 2013-08-07 中国科学院上海光学精密机械研究所 圆筒式成膜装置
JP2014122371A (ja) * 2012-12-20 2014-07-03 Mitsubishi Electric Corp 蒸着装置
US20160115590A1 (en) * 2013-02-01 2016-04-28 Asm Ip Holding B.V. Method and system for treatment of deposition reactor
US20160376700A1 (en) * 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2021-04-16 2024-06-25 Asm Ip Holding B.V. Substrate processing method

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102129869B1 (ko) 2012-11-06 2020-07-06 오티아이 루미오닉스 인크. 표면상에 전도성 코팅층을 침착시키는 방법
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
WO2017100944A1 (en) 2015-12-16 2017-06-22 Oti Lumionics Inc. Barrier coating for opto-electronic devices
JP6242933B2 (ja) * 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2018033860A1 (en) 2016-08-15 2018-02-22 Oti Lumionics Inc. Light transmissive electrode for light emitting devices
WO2020175152A1 (ja) * 2019-02-25 2020-09-03 株式会社アルバック プラズマcvd装置、および、プラズマcvd法
CN110453181A (zh) * 2019-08-08 2019-11-15 深圳市华星光电半导体显示技术有限公司 蒸镀设备及其防着板
CN112156931B (zh) * 2020-10-20 2022-02-25 唐山佐仑环保科技有限公司 一种蒸汽镀膜机及蒸汽镀膜方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236759A (ja) * 1991-01-19 1992-08-25 Hitachi Cable Ltd 蒸着装置
JP2010024494A (ja) * 2008-07-18 2010-02-04 Canon Inc 真空処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3716348A (en) * 1970-06-01 1973-02-13 G Perkins Method of forming abrasion-resistant self-lubricating coating on ferrous metals and aluminum and resulting articles
JPH04112702A (ja) 1990-08-30 1992-04-14 Kubota Corp 農用トラクタ
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
JPH11290749A (ja) * 1998-04-13 1999-10-26 Nordson Kk 改良されたスロットスプレーガン装置
JP4112702B2 (ja) * 1998-09-11 2008-07-02 株式会社アルバック 成膜装置
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
JP4013859B2 (ja) * 2003-07-17 2007-11-28 富士電機ホールディングス株式会社 有機薄膜の製造装置
US20080271712A1 (en) * 2005-05-18 2008-11-06 Caterpillar Inc. Carbon deposit resistant component
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
WO2007135870A1 (ja) * 2006-05-19 2007-11-29 Ulvac, Inc. 有機蒸着材料用蒸着装置、有機薄膜の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236759A (ja) * 1991-01-19 1992-08-25 Hitachi Cable Ltd 蒸着装置
JP2010024494A (ja) * 2008-07-18 2010-02-04 Canon Inc 真空処理装置

Cited By (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
JP2014122371A (ja) * 2012-12-20 2014-07-03 Mitsubishi Electric Corp 蒸着装置
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US20160115590A1 (en) * 2013-02-01 2016-04-28 Asm Ip Holding B.V. Method and system for treatment of deposition reactor
US20160376700A1 (en) * 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
CN103230858A (zh) * 2013-05-03 2013-08-07 中国科学院上海光学精密机械研究所 圆筒式成膜装置
CN103230858B (zh) * 2013-05-03 2015-06-17 中国科学院上海光学精密机械研究所 圆筒式成膜装置
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12020934B2 (en) 2021-04-16 2024-06-25 Asm Ip Holding B.V. Substrate processing method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12020938B2 (en) 2022-07-07 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode

Also Published As

Publication number Publication date
KR20130094346A (ko) 2013-08-23
DE112011104309T5 (de) 2013-09-26
TWI568866B (zh) 2017-02-01
TW201237197A (en) 2012-09-16
JP5608758B2 (ja) 2014-10-15
JPWO2012077590A1 (ja) 2014-05-19
CN103249858B (zh) 2015-06-10
CN103249858A (zh) 2013-08-14
US20130333619A1 (en) 2013-12-19
KR101525813B1 (ko) 2015-06-05

Similar Documents

Publication Publication Date Title
JP5608758B2 (ja) 有機薄膜形成装置
US9849483B2 (en) Methods for coating articles
JP6107819B2 (ja) ガスバリア性フィルム、およびこれを用いる電子デバイス
KR101530792B1 (ko) 가스 배리어성 필름, 가스 배리어성 필름의 제조 방법 및 전자 디바이스
US20100261017A1 (en) Method of producing gas barrier laminate and gas barrier laminate obtained
CN106415876A (zh) 允许低压力工具替换的薄膜封装处理系统和工艺配件
US10406557B2 (en) Curing apparatus and curing method
CN102686880A (zh) 低温泵及真空排气方法
US20180009001A1 (en) Methods for the vapor phase deposition of polymer thin films
JP2008056790A (ja) 真空処理装置及び真空処理プロセス
JP2009221541A (ja) 無機層の真空成膜法、バリア性積層体、デバイスおよび光学部材
US20110192716A1 (en) Method for producing an ito layer and sputtering system
JP6713087B2 (ja) 樹脂膜の形成方法および樹脂膜の成膜装置
JP2004079528A5 (ja)
JP6034548B2 (ja) 有機膜形成装置及び有機膜形成方法
JP2011063865A (ja) ポリ尿素膜およびその成膜方法
JP2010043795A (ja) 連続雰囲気炉
WO2018155452A1 (ja) マスク及び成膜装置
US20040142111A1 (en) Film forming method
JP6531031B2 (ja) 基板の製造方法
JPWO2017090498A1 (ja) ガスバリア性フィルムの製造方法
Hwang 47.3: Plane Source and In‐Line Deposition System for OLED Manufacturing
WO2020151012A1 (zh) 光学薄膜的制备方法、卷绕镀膜机和微纳米结构色晶体
JP2008173837A (ja) ガスバリア性積層基板及びその製造方法
JP5836235B2 (ja) 機能性フィルム

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11846218

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2012547820

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1120111043090

Country of ref document: DE

Ref document number: 112011104309

Country of ref document: DE

ENP Entry into the national phase

Ref document number: 20137017127

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 11846218

Country of ref document: EP

Kind code of ref document: A1