KR20130094346A - 유기 박막 형성 장치 - Google Patents

유기 박막 형성 장치 Download PDF

Info

Publication number
KR20130094346A
KR20130094346A KR1020137017127A KR20137017127A KR20130094346A KR 20130094346 A KR20130094346 A KR 20130094346A KR 1020137017127 A KR1020137017127 A KR 1020137017127A KR 20137017127 A KR20137017127 A KR 20137017127A KR 20130094346 A KR20130094346 A KR 20130094346A
Authority
KR
South Korea
Prior art keywords
thin film
organic thin
vacuum chamber
organic
forming apparatus
Prior art date
Application number
KR1020137017127A
Other languages
English (en)
Other versions
KR101525813B1 (ko
Inventor
다이수케 오모리
카주야 우치다
준 미야우치
Original Assignee
울박, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 울박, 인크 filed Critical 울박, 인크
Publication of KR20130094346A publication Critical patent/KR20130094346A/ko
Application granted granted Critical
Publication of KR101525813B1 publication Critical patent/KR101525813B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

방착판의 표면에 착막한 유기 박막을 용이하게 제거할 수 있는 유기 박막 형성 장치를 제공한다. 진공조와 진공조내에 배치된 기판 스테이지와, 진공조내에 노출되는 공급 구멍으로부터 진공조내에 유기물 가스를 공급하는 가스 공급부와, 진공조의 내벽면에 장착된 방착판을 가지고, 기판 스테이지의 표면에 배치된 기판에, 유기물 가스로부터 유기 박막을 형성하는 유기 박막 형성 장치이며, 방착판의 노출되는 표면에는, 막전체의 용적에 대해서, 폴리 테트라 플루오르 에틸렌을 20%이상 40%이하의 용적비로 함유하는 불소 수지 함유 무전해 니켈막이 형성되어 있다. 불소 수지 함유 무전해 니켈막은 유기 박막에 대해서 이형성을 가지고 있고, 유기 박막이 부착되어도, 고압 세정 등의 방법으로 유기 박막을 용이하게 제거할 수 있다.

Description

유기 박막 형성 장치{APPARATUS FOR FORMING ORGANIC THIN FILM}
본 발명은 유기 박막 형성 장치와 관련된 것이며, 특히 유기물 가스를 진공조내에 공급하고, 기판의 표면에서 유기물 가스에 중합 반응을 일으키고, 유기 박막을 형성하는 기술 분야에 관한 것이다.
현재, 고분자 유기물로 이루어지는 유기 박막의 상당수는, 증착 중합법이나 자외선 경화법에 의하여 형성되어 있다. 증착 중합법과 자외선 경화법은 어느 쪽도 저분자의 유기물 가스를 진공조내에 공급하고, 기판의 표면에서 유기물 가스에 중합 반응을 일으키고, 고분자의 유기 박막을 형성하는 방법이며, 유기 박막의 피복력이 좋다고 하는 특징이 있다.
종래의 유기 박막 형성 장치에서는, 도 3(a)를 참조하여, 진공조(111)의 내벽면에의 유기 박막의 착막을 막기 위해서, 진공조(111)의 내벽면에 고정된 지지도구(142, 브라킷)에 방착판(141)을 유지 지지시키고 있었다. 그렇지만, 내벽면과 방착판(141)과의 사이에 틈이 형성되어 있기 때문에, 증착 중합법과 자외선 경화법에서는, 유기물 가스가 이 틈새로 들어가고, 진공조(111)의 내벽면에의 착막을 막을 수가 없었다.
또한, 종래의 유기 박막 형성 장치에서는, 내부에 착막해 버린 유기 박막을 박리하는 것은 곤란하고, 블라스트 처리나, 산 또는 알칼리의 약품과 접촉시키는 방법에 의해, 유기 박막의 제거 작업을 하고 있었다. 그렇지만, 블라스트 처리에서는, 모재에 변형이 생기거나 모재에 표면 처리가 되어 있는 경우에는, 표면 처리가 벗겨지기 때문에, 표면 처리를 다시 할 필요가 있고, 코스트가 비싸다고 하는 문제가 있었다. 또한, 산 또는 알칼리의 약품과 접촉시키는 방법에서는, 모재가 녹을 우려가 있었다.
특허 문헌 1 : 일본국 특허 제 4112702호 공보
본 발명은 상기 종래 기술의 문제점을 해결하기 위해서 발명된 것이며, 그 목적은 방착판의 표면에 착막한 유기 박막을 용이하게 제거할 수 있는 유기 박막 형성 장치를 제공하는 것에 있다.
상기 과제를 해결하기 위해서 본 발명은 진공조와, 상기 진공조내에 배치된 기판 스테이지와, 상기 진공조내에 노출되는 공급 구멍으로부터 상기 진공조내에 유기물 가스를 공급하는 가스 공급부와, 상기 진공조의 내벽면에 장착된 방착판을 가지고, 상기 기판 스테이지의 표면에 배치된 기판에, 상기 유기물 가스로부터 유기 박막을 형성하는 유기 박막 형성 장치이며, 상기 방착판의 노출되는 표면에는, 불소 수지 함유 무전해 니켈막이 형성되고, 상기 불소 수지 함유 무전해 니켈막은 막전체의 용적에 대해서, 폴리테트라 플루오르 에틸렌을 20%이상 40%이하의 용적비로 함유하는 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 방착판의 상기 표면과 역(逆)의 이면은 상기 진공조의 내벽면에 밀착된 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 방착판의 모재는 철과 스텐레스와 구리 합금과 알루미늄으로 이루어지는 군 중에서 어느 1종류 또는 두 종류이상의 금속으로 이루어지는 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 공급 구멍의 표면에는 상기 불소 수지 함유 무전해 니켈막이 형성된 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 기판 스테이지의 표면 중, 상기 기판의 주위의 부분에는, 상기 불소 수지 함유 무전해 니켈막이 형성된 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 가스 공급부를 2개이상 가지는 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 유기 박막은 폴리 요소의 박막인 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 기판 스테이지의 표면과 대면하는 위치에는, 자외선을 방출하는 자외선 램프가 배치된 유기 박막 형성 장치이다.
본 발명은 유기 박막 형성 장치이며, 상기 유기 박막은 자외선 경화형의 아크릴의 박막인 유기 박막 형성 장치이다.
방착판에 착막한 유기 박막을 고압 세정 등으로 용이하게 제거할 수 있기 때문에, 세정 작업의 수고와 시간을 저감할 수 있다. 또한, 유기 박막의 제거 작업에서는, 불소 수지 함유 무전해 니켈막은 손상되지 않기 때문에, 방착판의 교환 코스트가 불필요하게 된다.
도 1은 본 발명인 제 1 예의 유기 박막 형성 장치의 내부 구성도이며,
도 2는 본 발명인 제 2 예의 유기 박막 형성 장치의 내부 구성도이며,
도 3은 진공조의 조벽과 방착판의 확대 단면도 (a):종래의 장치 (b):본 발명의 장치이며,
도 4는 배관의 진공조내에 삽입된 단부의 평면도
<제 1 예의 유기 박막 형성 장치의 구조>
본 발명인 제 1 예의 유기 박막 형성 장치의 구조를 설명한다.
도 1은 제 1 예의 유기 박막 형성 장치(10a)의 내부 구성도를 도시하고 있다.
제 1 예의 유기 박막 형성 장치(10a)는, 진공조(11)와 진공조(11)내에 배치된 기판 스테이지(31)와 진공조(11)내에 노출되는 제 1, 제 2의 공급 구멍(25a, 25b)으로부터 진공조(11)내에 유기물 가스를 공급하는 제 1, 제 2의 가스 공급부(20a, 20b)를 가지고 있다.
진공조(11)의 벽면에는 진공 배기 장치(12)가 접속되고, 진공조(11)내를 진공 배기할 수 있도록 구성되어 있다.
기판 스테이지(31)는 표면에 기판이 배치되어야 할 위치가 미리 정해져 있고, 그 표면이 노출된 상태로 진공조(11)내에 배치되어 있다. 부호(35)는 기판 스테이지(31)의 표면의 소정 위치에 배치된 기판을 도시하고 있다.
제 1, 제 2의 가스 공급부(20a, 20b)는 고체 또는 액체의 유기물 재료를 수용할 수 있는 제 1, 제 2의 수용 용기(21a, 21b)와, 수용된 유기물 재료를 가열하는 제 1, 제 2의 가열 장치(22a, 22b)와, 일단이 제 1, 제 2의 수용 용기(21a, 21b)내에 접속되고, 타단이 진공조(11)내에 삽입된 제 1, 제 2의 배관(23a, 23b)을 가지고 있다.
제 1, 제 2의 배관(23a, 23b)의 진공조(11)내에 삽입된 단부의 개구가 제 1, 제 2의 공급 구멍(25a, 25b)이며, 제 1, 제 2의 공급 구멍(25a, 25b)은 진공조(11)내에 노출되어 있다.
도 4는 제 1, 제 2의 배관(23a, 23b)의 진공조(11)내에 삽입된 단부의 평면도를 도시하고 있다. 본 실시예에서는, 제 1, 제 2의 공급 구멍(25a, 25b)은 샤워 헤드와 같이 다수의 작은 지름의 구멍으로 구성되어 있지만, 본 발명은 이것에 한정되지 않고, 제 1, 제 2의 공급 구멍(25a, 25b)이 다른 형상의 경우도 포함된다.
제 1, 제 2의 수용 용기(21a, 21b)는 진공조(11)의 외측에 배치되고, 내부에는 유기 박막의 재료인 제 1, 제 2의 유기물 재료가 배치되어 있다. 제 1, 제 2의 유기물 재료에는 그러한 증기가 기판(35)상에 도달하면 공증착 중합 반응하여 유기 박막이 형성되는 것이 이용된다.
제 1, 제 2의 가열 장치(22a, 22b)는 여기에서는 선 형상의 저항 가열 장치이며, 제 1, 제 2의 수용 용기(21a, 21b)의 외주에 돌려 감겨 장착되고, 제 1, 제 2의 수용 용기(21a, 21b)내의 제 1, 제 2의 유기물 재료를 가열하여, 증발할 수 있게 되어 있다. 이하에서는, 제 1, 제 2의 유기물 재료의 증기를 제 1, 제 2의 유기물 가스라고 부른다.
제 1, 제 2의 수용 용기(21a, 21b)내에서 생성된 제 1, 제 2의 유기물 가스는 제 1, 제 2의 배관(23a, 23b)의 내부를 지나, 진공조(11)내에 노출된 제 1, 제 2의 공급 구멍(25a, 25b)으로부터, 진공조(11)내에 방출된다.
제 1, 제 2의 배관(23a, 23b)에는, 각각 제 1, 제 2의 배관용 히터(24a, 24b)가 감겨져 있고, 제 1, 제 2의 배관(23a, 23b)은 제 1, 제 2의 유기물 가스의 응축 온도보다 높은 온도로 가열되고, 내부를 지나는 제 1, 제 2의 유기물 가스가 제 1, 제 2의 배관(23a, 23b)의 벽면에서 응축하지 않게 되어 있다.
진공조(11)의 내벽면에는, 방착판(41)이 밀착되어 장착되어 있다.
방착판(41)의 모재는 철과 스텐레스와, 구리합금과, 알루미늄으로 이루어지는 군 중의 어느 1종류 또는 2종류이상의 금속으로 이루어지고, 방착판(41)의 노출되는 표면에는, 불소 수지 함유 무전해 니켈막이 형성되어 있다. 불소 수지 함유 무전해 니켈막은 막전체의 용적에 대해서, 폴리테트라 플루오르 에틸렌(PTFE)을 20%이상 40%이하의 용적비로 함유하는 것이며, 본 실시예에서는, 알박테크노 주식회사(ULVAC TECHNO, Ltd.)의 니프그립(NIFGRIP®; 등록상표)이 이용된다.
불소 수지 함유 무전해 니켈막의 형성 방법을 설명하면, PTFE를 분산시킨 무전해 니켈 도금액에, 표면에 모재가 노출된 방착판(41)을 침지시켜, 방착판(41)의 표면에 니켈과 PTFE를 공석(共析)시킨다. 그 다음에, 방착판(41)을 대기중에 있어서 380℃~400℃로 열처리 하여, 무전해 니켈과 PTFE를 강고하게 밀착시킨다.
불소 수지 함유 무전해 니켈막은 유기 박막에 대해서 이형성을 가지고 있고, 유기 박막이 부착하여도, 고압 세정 등의 방법으로, 불소 수지 함유 무전해 니켈막을 손상시키지 않고, 유기 박막을 용이하게 제거할 수 있게 되어 있다. 만일 방착판(41)의 표면에 유기 박막이 계속 적층되면, 적층막의 표면 부분이 박리되고, 박리된 불순물이 기판(35)의 표면에 부착될 우려가 있지만, 박리가 발생하기 전에 유기 박막을 제거하면, 이 문제를 예방할 수 있다.
또한, 불소 수지 함유 무전해 니켈막은 모재에 대해서 접착성이 뛰어나고, 유기 박막을 제거할 때에, 모재로부터 벗겨지지 않게 되어 있다. 그 때문에, 유기 박막의 제거를 행한 후에, 불소 수지 함유 무전해 니켈막을 다시 형성하는 코스트가 불필요하다.
도 3(b)는 진공조(11)의 조벽과 방착판(41)의 확대 단면도이다. 본 실시예에서는, 방착판(41)은 나사 형상의 치구(42)에 의해 진공조(11)에 대해서 나사 고정되고, 방착판(41)의 표면과 역의 이면은, 진공조(11)의 내벽면에 밀착되어 있다. 그 때문에, 진공조(11)내에 공급된 제 1, 제 2의 유기물 가스는 방착판(41)의 이면과 진공조(11)의 내벽면과의 사이에 돌아 들어 가는 일은 없고, 진공조(11)의 내벽면에는 유기 박막이 형성되지 않게 되어 있다.
본 실시예에서는, 제 1, 제 2의 배관(23a, 23b)중 제 1, 제 2의 공급 구멍(25a, 25b) 부분의 모재도, 철과, 스텐레스와, 구리합금과, 알루미늄으로 이루어지는 군 중의 어느 1종류 또는 2종류이상의 재료로 이루어지고, 제 1, 제 2의 공급 구멍(25a, 25b)의 표면에도, 불소 수지 함유 무전해 니켈막이 형성되어 있다. 그 때문에, 제 1, 제 2의 공급 구멍(25a, 25b)의 표면에 유기 박막이 형성되어도, 고압 세정 등의 방법으로, 불소 수지 함유 무전해 니켈막을 손상시키지 않고 , 용이하게 제거할 수 있게 되어 있다.
따라서, 제 1, 제 2의 공급 구멍(25a, 25b)의 구경이 작아서, 형성되는 유기 박막에 의해 폐색되기 쉬운 경우에도, 폐색되기 전에 유기 박막을 제거하면, 제 1, 제 2의 유기물 가스의 공급 유량이 감소하는 것을 예방할 수 있다.
게다가 기판 스테이지(31)의 표면 중, 기판(35)이 배치되어야 할 소정 장소의 주위의 부분의 모재도, 철과, 스텐레스와, 구리합금과, 알루미늄으로 이루어지는 군 중의 어느 1종류 또는 2종류이상의 재료로 이루어지고, 그 표면에도, 불소 수지 함유 무전해 니켈막이 형성되어 있다. 그 때문에, 기판(35)의 표면에 유기 박막이 형성될 때에는, 기판 스테이지(31)의 표면 중, 기판(35)이 배치되어야 할 장소의 주위의 부분에도 유기 박막이 형성되지만, 고압 세정 등의 방법으로, 불소 수지 함유 무전해 니켈막을 손상시키지 않고, 유기 박막을 용이하게 제거할 수 있게 되어 있다.
<제 1 예의 유기 박막 형성 방법>
제 1 예의 유기 박막 형성 장치(10a)를 이용한 유기 박막 형성 방법을 설명한다.
(성막 공정)
진공 배기 장치(12)에 의해 진공조(11)내를 진공 배기하여, 진공 분위기를 형성한다. 이후, 진공 배기를 계속하여 진공 분위기를 유지한다.
진공조(11)내의 진공 분위기를 유지하면서, 진공조(11)내에 기판(35)을 반입하고, 기판 스테이지(31)의 표면 중, 불소 수지 함유 무전해 니켈막으로 주위를 둘러싼 소정의 위치에 배치한다.
제 1, 제 2의 수용 용기(21a, 21b)의 내부에, 제 1, 제 2의 유기물 재료를 배치한다. 본 실시예에서는, 제 1의 유기물 재료로서 디아민인 1, 12-디아미노도데칸을 사용하고, 제 2의 유기물 재료로서 디이소시아네이트인 1, 3-비스(메틸 이소시아네이트) 시클로헥산을 사용한다. 단, 제 1, 제 2의 유기물 재료는 기판(35)상에서 공증착 중합 반응하는 것이면 이것들로 한정하지 않고, 예를 들면 디아민인 4, 4'-디아미노디페닐메탄(MDA)과, 디이소시아네이트인 4, 4'-디페닐메탄 디이소시아네이트(MDI)를 사용하여도 좋다.
제 1, 제 2의 배관(23a, 23b)을 제 1, 제 2의 배관용 히터(24a, 24b)에 의해, 제 1, 제 2의 유기물 가스의 응축 온도보다 높은 온도로 가열해 둔다.
제 1, 제 2의 가열 장치(22a, 22b)에 의해, 제 1, 제 2의 유기물 재료를 가열하면, 제 1, 제 2의 유기물 재료로부터 제 1, 제 2의 유기물 가스가 생성되고, 생성된 제 1, 제 2의 유기물 가스는 제 1, 제 2의 배관(23a, 23b)의 내부를 지나, 제 1, 제 2의 공급 구멍(25a, 25b)으로부터 진공조(11)내에 공급된다.
공급된 제 1, 제 2의 유기물 가스는 기판(35)의 표면에서 공증착 중합 반응을 일으키고, 기판(35)의 표면에 유기 박막이 형성된다. 본 실시예에서는 폴리 요소의 박막이 형성된다.
진공조(11)내에 공급된 제 1, 제 2의 유기물 가스의 일부는, 방착판(41)의 표면에서도 공증착 중합 반응을 일으켜, 방착판(41)의 표면에도 유기 박막이 형성된다.
또한, 제 1, 제 2의 유기물 가스의 일부는, 제 1, 제 2의 공급 구멍(25a, 25b)의 표면과, 기판 스테이지(31)의 표면 중, 기판(35)의 주위의 부분과도 공증착 중합 반응을 일으키고, 각각의 장소에서 유기 박막이 형성된다.
기판(35)의 표면에 소정의 막 두께의 유기 박막이 형성된 후, 제 1, 제 2의 공급 구멍(25a, 25b)으로부터의 제 1, 제 2의 유기물 가스의 공급을 정지한다.
진공조(11)내의 진공 분위기를 유지하면서, 성막이 완료된 기판(35)을 진공조(11)의 외측에 반출하고, 다른 미성막의 기판(35)을 진공조(11)내에 반입하고, 상술한 성막 공정을 반복한다.
(세정 공정)
기판(35)이외의 부분에 적층된 유기 박막이 박리되기 전이며, 또한 제 1, 제 2의 공급 구멍(25a, 25b)이 유기 박막에 의해 폐색되기 전에, 연속하여 성막할 수 있는 기판의 매수(枚數)를, 시험이나 시뮬레이션에 의해 미리 구해 둔다.
미리 구해 둔 소정 매수의 기판(35)에 유기 박막을 형성한 후, 제 1 예의 유기 박막 형성 장치(10a)의 세정 공정을 실시한다.
진공조(11)내로부터 기판(35)을 반출한 후, 진공 배기 장치(12)를 정지하고, 진공조(11)내를 대기에 개방한다.
방착판(41)을 진공조(11)의 내벽면으로부터 떼어내어, 진공조(11)의 외측으로 꺼낸다. 진공조(11)의 내벽면 중, 방착판(41)이 밀착되어 있던 부분에는 유기 박막은 형성되어 있지 않다.
꺼낸 방착판(41)에 물을 고압으로 내뿜는 고압 세정 처리를 행하면, 방착판(41)의 표면에는 미리 불소 수지 함유 무전해 니켈 박막이 형성되어 있고, 유기 박막은 용이하게 제거된다. 또한, 유기 박막의 제거 방법은 고압 세정 처리에 한정되지 않고, 예를 들면, 핀셋 등의 기구를 이용하여 제거할 수도 있지만, 고압 세정 처리에서는 불소 수지 함유 무전해 니켈막을 손상할 우려가 다른 방법보다 적기 때문에 바람직하다.
또한, 제 1, 제 2의 배관(23a, 23b)의 제 1, 제 2의 공급 구멍(25a, 25b)측의 단부를 진공조(11)내로부터 꺼내어, 고압 세정 처리 등에 의해, 유기 박막을 제거한다. 제 1, 제 2의 공급 구멍(25a, 25b)의 표면에도 미리 불소 수지 함유 무전해 니켈 박막이 형성되어 있고, 유기 박막은 용이하게 제거된다.
게다가 기판 스테이지(31)를 진공조(11)내로부터 꺼내어, 고압 세정 처리 등에 의해, 유기 박막을 제거한다. 기판 스테이지(31)의 표면 중, 기판(35)이 배치되어야 할 소정 위치의 주위의 부분에도 미리 불소 수지 함유 무전해 니켈 박막이 형성되어 있고, 유기 박막은 용이하게 제거된다.
그 다음에, 세정이 완료된 기판 스테이지(31)를 진공조(11)내에 반입하여, 소정 위치에 설치하고, 세정이 완료된 제 1, 제 2의 배관(23a, 23b)의 제 1, 제 2의 공급 구멍(25a, 25b)측의 단부를 진공조(11)내에 삽입하여, 기밀(氣密)에 부착한다.
세정이 완료된 방착판(41)을 진공조(11)내에 반입하여, 진공조(11)의 내벽면에 밀착하여 부착한다.
그 다음에, 상술한 성막 공정을 재개한다. 기판(35)이외의 부분에 적층된 유기 박막은 제거되어 있고, 박리한 유기 박막이 불순물로서 기판(35)에 부착되는 문제는 발생하지 않는다. 또한, 제 1, 제 2의 공급 구멍(25a, 25b)이나 유기 박막에 의해 폐색되는 일은 없기 때문에, 제 1, 제 2의 유기물 가스를 일정한 유량으로 공급할 수 있고, 일정한 막질의 유기 박막을 기판(35)상에 형성할 수 있다.
<제 2 예의 유기 박막 형성 장치의 구조>
본 발명인 제 2 예의 유기 박막 형성 장치의 구조를 설명한다.
도 2는 제 2 예의 유기 박막 형성 장치(10b)의 내부 구성도를 도시하고 있다. 제 2 예의 유기 박막 형성 장치(10b) 중, 상술한 제 1 예의 유기 박막 형성 장치(10a)와 구조가 같은 부분에는, 같은 부호를 부여하고 있다.
제 2 예의 유기 박막 형성 장치(10b)는 진공조(11)와, 진공조(11)내에 배치된 기판 스테이지(31)와, 진공조(11)내에 노출되는 공급 구멍(25)으로부터 진공조(11)내에 유기물 가스를 공급하는 가스 공급부(20)를 가지고 있다.
즉, 제 2 예의 유기 박막 형성 장치(10b)는 제 1 예의 유기 박막 형성 장치(10a)의 제 1, 제 2의 가스 공급부(20a, 20b)의 대신에, 가스 공급부(20)를 1개 가지고 있다. 또한, 제 2 예의 유기 박막 형성 장치(10b)는 자외선을 방출하는 자외선 램프(17)를 가지고 있다.
제 1 예의 유기 박막 형성 장치(10a)와 구조가 같은 부분은 설명을 생략한다.
가스 공급부(20)는 고체 또는 액체의 유기물 재료를 수용할 수 있는 수용 용기(21)와, 수용된 유기물 재료를 가열하는 가열 장치(22)와, 일단이 수용 용기(21)내에 접속되고, 타단이 진공조(11)내에 삽입된 배관(23)을 가지고 있다.
배관(23)의 진공조(11)내에 삽입된 단부의 개구를 공급 구멍(25)이라고 부르면, 공급 구멍(25)은 진공조(11)내에 노출되어 있다. 본 실시예에서는, 도 4를 참조하여, 공급 구멍(25)은 샤워 헤드와 같이 다수의 작은 지름의 구멍으로 구성되어 있지만, 본 발명은 이것에 한정되지 않고, 다른 형상의 경우도 포함된다.
수용 용기(21)는 진공조(11)의 외측에 배치되고, 내부에는 유기 박막의 재료인 유기물 재료가 배치되어 있다. 유기물 재료에는, 그 액상막에 자외선이 조사되면 경화하여 유기 박막이 형성되는 것이 이용된다.
가열 장치(22)는 여기에서는 선 형상의 저항 가열 장치이며, 수용 용기(21)의 외주에 돌려 감겨져 장착되고, 수용 용기(21)내의 유기물 재료를 가열하여, 증발할 수 있게 되어 있다. 이하에서는, 유기물 재료의 증기를 유기물 가스라고 부른다.
수용 용기(21)내에서 생성된 유기물 가스는 배관(23)의 내부를 지나, 진공조(11)내에 노출된 공급 구멍(25)으로부터, 진공조(11)내에 방출된다.
배관(23)에는 배관용 히터(24)가 휘감겨져 있고, 배관(23)은 유기물 가스의 응축 온도보다 높은 온도로 가열되고, 내부를 지나는 유기물 가스가 배관(23)의 벽면에 석출되지 않게 되어 있다.
진공조(11)의 조벽(槽壁) 중, 기판 스테이지(31)의 표면과 대면하는 부분에는 자외선을 투과하는 투과창(18)이 설치되어 있다. 투과창(18)의 재질은, 예를 들면 석영이다.
자외선 램프(17)는 진공조(11)의 외측 중, 투과창(18)과 대면하는 위치에 배치되어 있고, 자외선 램프(17)로부터 자외선을 방출시키면, 방출된 자외선은 투과창(18)을 투과하여, 진공조(11)의 내부에 조사되게 되어 있다.
또한, 본 실시 형태에서는 자외선 램프(17)는 진공조(11)의 외측에 배치되어 있었지만, 자외선 램프(17)가 진공조(11)의 안쪽에 배치되고, 투과창(18)이 생략 되어 있어도 좋다. 또한, 자외선 램프(17)는 기판 스테이지(31)의 표면과 대면하는 위치에 정지되어 있어도 좋고, 기판 스테이지(31)의 표면과 대면하는 평면내에서 왕복 이동할 수 있도록 구성되어 있어도 좋다.
진공조(11)의 내벽면에는, 방착판(41)이 밀착되어 장착되어 있다. 방착판(41)의 구조는 제 1 예의 유기 박막 형성 장치(10a)의 방착판(41)과 동일하고, 설명을 생략한다.
본 실시예에서는, 배관(23) 중 공급 구멍(25) 부분의 구조도, 제 1 예의 유기 박막 형성 장치(10a)에 있어서의 제 1, 제 2의 배관(23a, 23b)의 제 1, 제 2의 공급 구멍(25a, 25b)의 부분과 같으므로, 설명을 생략한다.
게다가, 기판 스테이지(31)의 표면 중, 기판(35)이 배치되어야 할 소정 장소의 주위 부분의 구조도, 제 1 예의 유기 박막 형성 장치(10a)의 기판 스테이지(31)와 같으므로, 설명을 생략한다.
<제 2 예의 유기 박막 형성 방법>
본 발명의 제 2 예의 유기 박막 형성 장치(10b)를 이용한 유기 박막 형성 방법을 설명한다.
(성막 공정)
진공 배기 장치(12)에 의해 진공조(11)내를 진공 배기하고, 진공 분위기를 형성한다. 이후, 진공 배기를 계속하여 진공 분위기를 유지한다.
진공조(11)내의 진공 분위기를 유지하면서, 진공조(11)내에 기판(35)을 반입하고, 기판 스테이지(31)의 표면 중, 불소 수지 함유 무전해 니켈막으로 주위가 둘러싸인 소정의 위치에 배치한다.
수용 용기(21)의 내부에, 유기물 재료를 배치한다. 본 실시예에서는, 유기물 재료로서 자외선 경화형의 아크릴 모노머 또는 올리고머를 사용한다. 유기물 재료에는 광중합 개시제를 첨가하여도 좋다.
배관(23)을 배관용 히터(24)에 의해, 유기물 가스의 응축 온도보다 높은 온도로 가열해 둔다.
가열 장치(22)에 의해, 유기물 재료를 가열하면, 유기물 재료로부터 유기물 가스가 생성되고, 생성된 유기물 가스는 배관(23)의 내부를 지나, 공급 구멍(25)으로부터 진공조(11)내에 공급된다.
공급된 유기물 가스는 기판(35)의 표면에 부착되어 응축하고, 액상막이 형성된다. 또한, 유기물 가스의 일부는, 방착판(41)의 표면에도 부착되어 응축하고, 액상막이 형성된다. 게다가 유기물 가스의 일부는, 공급 구멍(25)의 표면과, 기판 스테이지(31)의 표면 중, 기판(35)의 주위의 부분에도 부착되어 응축하고, 액상막이 형성된다.
기판(35)의 표면에 소정 두께의 액상막이 형성된 후, 공급 구멍(25)으로부터의 유기물 가스의 공급이 정지된다.
진공조(11)내의 진공 분위기를 유지하면서, 자외선 램프(17)로부터 자외선을 방출시킨다. 방출된 자외선은 투과창(18)을 투과하여 진공조(11)내에 진입한다.
진공조(11)내에 진입한 자외선의 일부는 기판(35)의 표면에 입사되고, 기판(35)의 표면에 형성된 유기물 재료로 이루어지는 액상막에 광중합 반응을 일으켜 경화시키고, 기판(35)의 표면에 유기 박막이 형성된다. 본 실시예에서는 아크릴 수지의 박막이 형성된다.
또한, 자외선의 일부는 방착판(41)의 표면에 입사되고, 방착판(41)의 표면에 형성된 유기물 재료로 이루어지는 액상막에 광중합 반응을 일으켜 경화시키고, 방착판(41)의 표면에도 유기 박막이 형성된다.
게다가 진공조(11)내에 진입한 자외선의 일부는, 공급 구멍(25)의 표면과, 기판 스테이지(31)의 표면 중 기판(35)의 주위 부분에도 입사되고, 각각의 장소에 형성된 유기물 재료로 이루어지는 액상막에 중합 반응을 일으켜 경화시키고, 각각의 장소에서 유기 박막이 형성된다.
기판(35)의 표면에 유기 박막이 형성된 후, 자외선 램프(17)로부터의 자외선의 방출을 정지한다.
진공조(11)내의 진공 분위기를 유지하면서, 성막이 완료된 기판(35)을 진공조(11)의 외측으로 반출하고, 다른 미성막의 기판(35)을 진공조(11)내에 반입하여, 상술한 성막 공정을 반복한다.
(세정 공정)
기판(35)이외의 부분에 적층된 유기 박막이 박리되기 전이며, 또한, 공급 구멍(25)이 유기 박막에 의해 폐색되기 전에, 연속하여 성막할 수 있는 기판의 매수를 시험이나 시뮬레이션에 의해 미리 구하여 둔다.
미리 구해 둔 소정 매수의 기판(35)에 유기 박막을 형성한 후, 제 2 예의 유기 박막 형성 장치(10b)의 세정 공정을 행한다.
제 2 예의 유기 박막 형성 장치(10b)의 세정 공정은 제 1 예의 유기 박막 형성 장치(10a)의 세정 공정과 같으므로, 설명을 생략한다.
또한, 제 1 예, 제 2 예의 유기 박막 형성 장치(10a, 10b)는, 방착판(41)의 표면과, 제 1, 제 2의 공급 구멍(25a, 25b)의 표면 또는 공급 구멍(25)의 표면과, 기판 스테이지(31)의 표면 중, 기판(35)이 배치되어야 할 소정 장소 주위의 부분을 가열하는 도시하지 않은 히터를 가지고 있어도 좋다.
진공조(11)내에 유기물 가스를 공급하기 전에, 도시하지 않은 히터에 의해, 방착판(41)의 표면과, 제 1, 제 2의 공급 구멍(25a, 25b)의 표면 또는 공급 구멍(25)의 표면과, 기판 스테이지(31)의 표면 중, 기판(35)이 배치되어야 할 소정 장소 주위의 부분을 유기물 가스의 응축 온도보다 높은 온도로 가열해 두면, 유기물 가스의 부착량 그 자체를 감소시킬 수가 있고, 세정 공정을 행하기까지 연속하여 성막 할 수 있는 기판의 매수를 늘릴 수가 있다.
도 1, 도 2의 도면상에서는, 진공조(11)내에 기판 스테이지(31)와 공급 구멍(25a, 25b 또는 25)으로 이루어지는 성막조는 1조만 배치되어 있었지만, 본 발명은 이것에 한정되지 않고, 2조 이상 배치되어 있어도 좋다.
제 2 예의 유기 박막 형성 장치(10b)가 성막조를 2조 이상 가지는 경우에는, 각 성막조 마다 각각 다른 자외선 램프(17)를 마련하는 구성보다, 한 개의 자외선 램프(17)를 각 기판 스테이지(31)의 표면과 대면하는 위치에 각각 이동할 수 있도록 구성하는 편이, 저비용으로 바람직하다.
제 2 예의 유기 박막 형성 장치(10b)가 성막조를 2조 이상 가지는 경우에는, 하나의 성막조로 하나의 기판(35)의 표면에 광반응성의 유기물 재료를 부착중에, 다른 성막조로 다른 기판(35)의 표면에 광조사를 행하면, 유기 박막의 생산 효율을 향상할 수 있다.
10a, 10b : 유기 박막 형성 장치 11 : 진공조
20a, 20b, 20 : 가스 공급부 25a, 25b, 25 : 공급 구멍
31 : 기판 스테이지 35 : 기판
41 : 방착판

Claims (9)

  1. 진공조와,
    상기 진공조내에 배치된 기판 스테이지와,
    상기 진공조내에 노출되는 공급 구멍으로부터 상기 진공조내에 유기물 가스를 공급하는 가스 공급부와,
    상기 진공조의 내벽면에 장착된 방착판과,
    를 가지고, 상기 기판 스테이지의 표면에 배치된 기판에, 상기 유기물 가스로부터 유기 박막을 형성하는 유기 박막 형성 장치이며,
    상기 방착판의 노출되는 표면에는, 불소 수지 함유 무전해 니켈막이 형성되고,
    상기 불소 수지 함유 무전해 니켈막은 막전체의 용적에 대해서, 폴리테트라 플루오르 에틸렌을 20%이상 40%이하의 용적비로 함유하는 유기 박막 형성 장치.
  2. 제 1 항에 있어서,
    상기 방착판의 상기 표면과 역(逆)의 이면은 상기 진공조의 내벽면에 밀착된 유기 박막 형성 장치.
  3. 제 1 항에 있어서,
    상기 방착판의 모재는 철과, 스텐레스와, 구리합금과, 알루미늄으로 이루어지는 군 중의 어느 1종류 또는 2종류이상의 금속으로 이루어지는 유기 박막 형성 장치.
  4. 제 1 항에 있어서,
    상기 공급 구멍의 표면에는 상기 불소 수지 함유 무전해 니켈막이 형성된 유기 박막 형성 장치.
  5. 제 1 항에 있어서,
    상기 기판 스테이지의 표면 중, 상기 기판 주위의 부분에는, 상기 불소 수지 함유 무전해 니켈막이 형성된 유기 박막 형성 장치.
  6. 제 1 항에 있어서,
    상기 가스 공급부를 2개 이상 가지는 유기 박막 형성 장치.
  7. 제 6 항에 있어서,
    상기 유기 박막은 폴리 요소의 박막인 유기 박막 형성 장치.
  8. 제 1 항 내지 제 5 항의 어느 한 항에 있어서,
    상기 기판 스테이지의 표면과 대면하는 위치에는, 자외선을 방출하는 자외선 램프가 배치된 유기 박막 형성 장치.
  9. 제 8 항에 있어서,
    상기 유기 박막은 자외선 경화형의 아크릴 수지의 박막인 유기 박막 형성 장치.
KR1020137017127A 2010-12-09 2011-12-02 유기 박막 형성 장치 KR101525813B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2010-274523 2010-12-09
JP2010274523 2010-12-09
PCT/JP2011/077898 WO2012077590A1 (ja) 2010-12-09 2011-12-02 有機薄膜形成装置

Publications (2)

Publication Number Publication Date
KR20130094346A true KR20130094346A (ko) 2013-08-23
KR101525813B1 KR101525813B1 (ko) 2015-06-05

Family

ID=46207079

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137017127A KR101525813B1 (ko) 2010-12-09 2011-12-02 유기 박막 형성 장치

Country Status (7)

Country Link
US (1) US20130333619A1 (ko)
JP (1) JP5608758B2 (ko)
KR (1) KR101525813B1 (ko)
CN (1) CN103249858B (ko)
DE (1) DE112011104309T5 (ko)
TW (1) TWI568866B (ko)
WO (1) WO2012077590A1 (ko)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102129869B1 (ko) 2012-11-06 2020-07-06 오티아이 루미오닉스 인크. 표면상에 전도성 코팅층을 침착시키는 방법
JP2014122371A (ja) * 2012-12-20 2014-07-03 Mitsubishi Electric Corp 蒸着装置
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103230858B (zh) * 2013-05-03 2015-06-17 中国科学院上海光学精密机械研究所 圆筒式成膜装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017100944A1 (en) 2015-12-16 2017-06-22 Oti Lumionics Inc. Barrier coating for opto-electronic devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6242933B2 (ja) * 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018033860A1 (en) 2016-08-15 2018-02-22 Oti Lumionics Inc. Light transmissive electrode for light emitting devices
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020175152A1 (ja) * 2019-02-25 2020-09-03 株式会社アルバック プラズマcvd装置、および、プラズマcvd法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110453181A (zh) * 2019-08-08 2019-11-15 深圳市华星光电半导体显示技术有限公司 蒸镀设备及其防着板
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN112156931B (zh) * 2020-10-20 2022-02-25 唐山佐仑环保科技有限公司 一种蒸汽镀膜机及蒸汽镀膜方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3716348A (en) * 1970-06-01 1973-02-13 G Perkins Method of forming abrasion-resistant self-lubricating coating on ferrous metals and aluminum and resulting articles
JPH04112702A (ja) 1990-08-30 1992-04-14 Kubota Corp 農用トラクタ
JPH04236759A (ja) * 1991-01-19 1992-08-25 Hitachi Cable Ltd 蒸着装置
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
JPH11290749A (ja) * 1998-04-13 1999-10-26 Nordson Kk 改良されたスロットスプレーガン装置
JP4112702B2 (ja) * 1998-09-11 2008-07-02 株式会社アルバック 成膜装置
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
JP4013859B2 (ja) * 2003-07-17 2007-11-28 富士電機ホールディングス株式会社 有機薄膜の製造装置
US20080271712A1 (en) * 2005-05-18 2008-11-06 Caterpillar Inc. Carbon deposit resistant component
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
WO2007135870A1 (ja) * 2006-05-19 2007-11-29 Ulvac, Inc. 有機蒸着材料用蒸着装置、有機薄膜の製造方法
JP2010024494A (ja) * 2008-07-18 2010-02-04 Canon Inc 真空処理装置

Also Published As

Publication number Publication date
WO2012077590A1 (ja) 2012-06-14
DE112011104309T5 (de) 2013-09-26
TWI568866B (zh) 2017-02-01
TW201237197A (en) 2012-09-16
JP5608758B2 (ja) 2014-10-15
JPWO2012077590A1 (ja) 2014-05-19
CN103249858B (zh) 2015-06-10
CN103249858A (zh) 2013-08-14
US20130333619A1 (en) 2013-12-19
KR101525813B1 (ko) 2015-06-05

Similar Documents

Publication Publication Date Title
KR20130094346A (ko) 유기 박막 형성 장치
KR101530792B1 (ko) 가스 배리어성 필름, 가스 배리어성 필름의 제조 방법 및 전자 디바이스
JP5981115B2 (ja) 成膜装置
DE602006018976D1 (de) Verfahren zum abtrennen von hochtemperaturfilmen und/oder vorrichtungen von metallsubstraten
KR102613222B1 (ko) 기판의 표면에서 밀리미터, 마이크로미터 또는 나노미터 구조물을 처리하기 위한 방법
JP5454346B2 (ja) 接合膜転写シートおよび接合方法
CN113412186B (zh) 用于使用惰性气体防止在3d打印系统中光引发聚合反应的氧阻聚的系统和方法
JP6713087B2 (ja) 樹脂膜の形成方法および樹脂膜の成膜装置
JP6959454B2 (ja) 成膜装置
KR102469600B1 (ko) 성막장치 및 성막방법
JP6034548B2 (ja) 有機膜形成装置及び有機膜形成方法
JP2011063865A (ja) ポリ尿素膜およびその成膜方法
WO2018155452A1 (ja) マスク及び成膜装置
US10249545B2 (en) Method for processing substrate including forming a film on a silicon-containing surface of the substrate to prevent resist from extruding from the substrate during an imprinting process
WO2009112655A1 (fr) Procede de fabrication de photomasques et dispositif pour sa mise en œuvre
JP6853873B2 (ja) 樹脂膜の形成方法およびマスク
JP6531031B2 (ja) 基板の製造方法
EP2077467A1 (fr) Procédé de fabrication de photomasques et dispositif pour sa mise en ?uvre
JP2008173837A (ja) ガスバリア性積層基板及びその製造方法
KR20140022664A (ko) 플라스틱 기판의 제조 장치 및 방법
TH120073A (th) วิธีการของการเคลือบซับสเทรทด้วยการแผ่รังสีและสารผสมของสิ่งเคลือบที่สามารถบ่มได้อย่างทางเคมี

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180515

Year of fee payment: 4