JP5601331B2 - ロボットハンドおよびロボット - Google Patents

ロボットハンドおよびロボット Download PDF

Info

Publication number
JP5601331B2
JP5601331B2 JP2012014387A JP2012014387A JP5601331B2 JP 5601331 B2 JP5601331 B2 JP 5601331B2 JP 2012014387 A JP2012014387 A JP 2012014387A JP 2012014387 A JP2012014387 A JP 2012014387A JP 5601331 B2 JP5601331 B2 JP 5601331B2
Authority
JP
Japan
Prior art keywords
holding
wafer
optical path
robot
hand
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012014387A
Other languages
English (en)
Other versions
JP2013154406A (ja
Inventor
隆治 安藤
一紀 日野
彰浩 古谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yaskawa Electric Corp
Original Assignee
Yaskawa Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yaskawa Electric Corp filed Critical Yaskawa Electric Corp
Priority to JP2012014387A priority Critical patent/JP5601331B2/ja
Priority to US13/606,024 priority patent/US8820809B2/en
Priority to TW101136670A priority patent/TW201334932A/zh
Priority to CN201210417437.6A priority patent/CN103227129B/zh
Priority to KR20120120313A priority patent/KR101495960B1/ko
Publication of JP2013154406A publication Critical patent/JP2013154406A/ja
Application granted granted Critical
Publication of JP5601331B2 publication Critical patent/JP5601331B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/07Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for semiconductor wafers Not used, see H01L21/677
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Description

開示の実施形態は、ロボットハンドおよびロボットに関する。
従来、EFEM(Equipment Front End Module)と呼ばれる局所クリーン装置内に形成された空間において、ウェハなどの基板を半導体製造プロセスにおけるプロセス処理装置へ搬入出するロボットが知られている。
かかるロボットは一般に、アームと、アームの先端部に設けられたロボットハンドとを備えており、かかるロボットハンドを用いて基板を保持しながら、アームを水平方向などに動作させることによって基板を搬入出する。
なお、かかる搬入出の際のロボットハンドにおける基板の有無は、たとえば、ロボットハンドの先端部に対向配置された投光器および受光器の形成する光軸が、基板によって遮られているか否かによって検出される(たとえば、特許文献1参照)。
ところで、半導体製造プロセスには通常、成膜処理などの熱処理工程が含まれる。このため、前述のロボットは、かかる熱処理工程を経て高熱となった基板を搬送する場合も多い。
特開2004−119554号公報
しかしながら、上記したロボットハンドを用いた場合には、高熱となった基板を搬送する際に、前述の投光器や受光器がかかる基板の放射熱の影響を受けてしまい、基板の有無を検出できないおそれがある。
実施形態の一態様は、上記に鑑みてなされたものであって、高熱の基板であっても確実に検出することができるロボットハンドおよびロボットを提供することを目的とする。
実施形態の一態様に係るロボットハンドは、保持部と、基端部と、光センサと、複数の反射部材とを備える。前記保持部は、薄板状のワークを保持する。前記基端部は、先端部分に前記保持部を有し、末端部分がアームへ旋回可能に連結される。前記光センサは、前記基端部に設けられ、投光部および受光部を有する。前記反射部材は、前記保持部に設けられ、前記投光部からの光を反射することによって前記ワークの保持領域を通過させて前記受光部へ至らせる光路を形成する。また、前記保持部は、前記ワークへ接して該ワークを保持する複数の突起部を有する。また、前記反射部材は、前記突起部のうち少なくとも2つに設けられ、前記投光部からの光が少なくとも前記ワークの側面から入射して該ワークを横切るように前記光路を形成する。
実施形態の一態様によれば、高熱の基板であっても確実に検出することができる。
図1は、実施形態に係るロボットを備える搬送システムの全体構成を示す模式図である。 図2は、実施形態に係るロボットの構成を示す模式図である。 図3Aは、第1の実施形態に係るハンドの模式上面図である。 図3Bは、第1の実施形態に係るハンドの模式側面図である。 図4Aは、図3Aに示すM1部の模式拡大図である。 図4Bは、基端側突起部の模式側面図である。 図4Cは、図4Aに示すA−A’線におけるウェハの端断面図である。 図5Aは、ウェハの保持状態を示す図である。 図5Bは、第2の実施形態に係るハンドの模式側面図である。 図5Cは、第2の実施形態に係るハンドの模式側面図である。 図5Dは、第2の実施形態に係るハンドの模式側面図である。 図6は、第3の実施形態に係るハンドの模式上面図である。 図7Aは、第4の実施形態の概要図である。 図7Bは、第4の実施形態に係るハンドの模式側面図である。 図7Cは、第4の実施形態に係るハンドの模式側面図である。
以下、添付図面を参照して、本願の開示するロボットハンドおよびロボットの実施形態を詳細に説明する。なお、以下に示す実施形態によりこの発明が限定されるものではない。
また、以下では、搬送対象物であるワークが基板であり、かつ、かかる基板が半導体ウェハである場合について説明することとし、かかる「半導体ウェハ」を「ウェハ」と記載する。また、エンドエフェクタである「ロボットハンド」については、「ハンド」と記載する。
(第1の実施形態)
まず、実施形態に係るロボットを備える搬送システムの全体構成について図1を用いて説明する。図1は、実施形態に係るロボットを備える搬送システム1の全体構成を示す模式図である。
なお、説明を分かりやすくするために、図1には、鉛直上向きを正方向とし、鉛直下向き(すなわち、「鉛直方向」)を負方向とするZ軸を含む3次元の直交座標系を図示している。したがって、XY平面に沿った方向は、「水平方向」を指す。かかる直交座標系は、以下の説明に用いる他の図面においても示す場合がある。
また、以下では、複数個で構成される構成要素については、複数個のうちの1個にのみ符号を付し、その他については符号の付与を省略する場合がある。かかる場合、符号を付した1個とその他とは同様の構成であるものとする。
図1に示すように、搬送システム1は、基板搬送部2と、基板供給部3と、基板処理部4とを備える。基板搬送部2は、ロボット10と、かかるロボット10内部を配設する筐体20とを備える。なお、基板供給部3は、かかる筐体20の一方の側面21に設けられ、基板処理部4は、他方の側面22に設けられる。また、図中の符号100は、搬送システム1の設置面を示している。
ロボット10は、搬送対象物であるウェハWを上下2段で保持可能なハンド11を有するアーム部12を備える。アーム部12は、筐体20の底壁部を形成する基台設置フレーム23上に設置される基台13に対して昇降自在、かつ水平方向に旋回自在に支持される。なお、ロボット10の詳細については、図2を用いて後述する。
筐体20は、いわゆるEFEMであり、上部に備えるフィルタユニット24を介してクリーンエアのダウンフローを形成する。かかるダウンフローにより、筐体20の内部は高クリーン度状態に保たれる。また、基台設置フレーム23の下面には脚具25が備えられており、筐体20と設置面100との間に所定のクリアランスCを設けつつ筐体20を支持する。
基板供給部3は、複数のウェハWを高さ方向に多段に収納するフープ30と、かかるフープ30の蓋体を開閉して、ウェハWを筐体20内へ取り出せるようにするフープオープナ(図示せず)とを備える。なお、フープ30およびフープオープナのセットは、所定の高さを有するテーブル31上に所定の間隔をおいて複数セット並設することができる。
基板処理部4は、たとえば、洗浄処理や成膜処理、フォトリソグラフィ処理といった半導体製造プロセスにおける所定のプロセス処理をウェハWに対して施すプロセス処理部である。基板処理部4は、かかる所定のプロセス処理を行う処理装置40を備える。かかる処理装置40は、筐体20の他方の側面22に、ロボット10を挟んで基板供給部3と対向するように配置される。
また、筐体20の内部には、ウェハWのセンタリングやノッチ合わせを行うプリアライナ装置26が設けられる。
そして、かかる構成に基づき、搬送システム1は、ロボット10に昇降動作や旋回動作をとらせながら、フープ30内のウェハWを取り出させ、プリアライナ装置26を介してウェハWを処理装置40へ搬入する。そして、処理装置40において所定のプロセス処理を施されたウェハWをふたたびロボット10の動作によって搬出および搬送し、フープ30へ再収納する。
次に、実施形態に係るロボット10の構成について図2を用いて説明する。図2は、実施形態に係るロボット10の構成を示す模式図である。
図2に示すように、ロボット10は、ハンド11と、アーム部12と、基台13とを備える。アーム部12は、昇降部12aと、関節部12b、関節部12dおよび関節部12fと、第1アーム12cと、第2アーム12eとをさらに備える。
基台13は、上述したように、基台設置フレーム23(図1参照)上に設置されるロボット10のベース部である。昇降部12aは、かかる基台13から鉛直方向(Z軸方向)にスライド可能に設けられ(図2の両矢印a0参照)、アーム部12を鉛直方向に沿って昇降させる。
関節部12bは、軸a1まわりの回転関節である(図2の軸a1まわりの両矢印参照)。第1アーム12cは、かかる関節部12bを介し、昇降部12aに対して旋回可能に連結される。
また、関節部12dは、軸a2まわりの回転関節である(図2の軸a2まわりの両矢印参照)。第2アーム12eは、かかる関節部12dを介し、第1アーム12cに対して旋回可能に連結される。
また、関節部12fは、軸a3まわりの回転関節である(図2の軸a3まわりの両矢印参照)。ハンド11は、かかる関節部12fを介し、第2アーム12eに対して旋回可能に連結される。
なお、ロボット10には、モータなどの駆動源(図示略)が搭載されており、関節部12b、関節部12dおよび関節部12fのそれぞれは、かかる駆動源の駆動に基づいて回転する。
ハンド11は、ウェハWを保持するエンドエフェクタであり、それぞれ高さ位置の異なる上段ハンド11aと下段ハンド11bとの2個のハンドからなる。上段ハンド11aおよび下段ハンド11bは、軸a3を共通の旋回軸として近接して設けられ、それぞれ独立して軸a3まわりに旋回することができる。
そして、実施形態に係る搬送システム1は、かかる上段ハンド11aおよび下段ハンド11bのそれぞれにウェハWを載置して、たとえば、2枚同時にロボット10へ搬送させることによって、作業の効率化やスループットの向上などを図ることができる。
なお、上段ハンド11aおよび下段ハンド11bの構成の詳細については後述するが、以下では、上段ハンド11aおよび下段ハンド11bは同一構成であるものとし、まとめて「ハンド11」の記載で説明を行う。なお、かかる点は、上段ハンド11aおよび下段ハンド11bが同一構成であると限定するものではない。
また、ロボット10が示すこととなる各種動作は、制御装置50によって制御される。制御装置50は、ロボット10と相互通信可能に接続され、たとえば、筐体20(図1参照)内部のロボット10の懐(ふところ)や筐体20の外部などに配設される。なお、ロボット10と制御装置50とが一体化していてもよい。
また、制御装置50の行うロボット10の各種動作の動作制御は、あらかじめ制御装置50に格納されている教示データに基づいて行なわれるが、やはり相互通信可能に接続された上位装置60からかかる教示データを取得する場合もある。また、上位装置60は、ロボット10(およびその各構成要素)の状態監視が逐次可能である。
次に、第1の実施形態に係るハンド11の構成の詳細について、図3Aおよび図3Bを用いて説明する。図3Aは、第1の実施形態に係るハンド11の模式上面図であり、図3Bは、第1の実施形態に係るハンド11の模式側面図である。
なお、図3Aおよび図3Bでは、ハンド11が、その先端部をX軸の正方向へ向けているところを示している。
図3Aに示すように、ハンド11は、プレート111(保持部)と、基端部112と、先端側突起部113L,113Rと、基端側突起部114L,114Rと、光センサ115とを備える。光センサ115は、投光部115aと、受光部115bとをさらに備える。
プレート111は、ウェハWが保持される領域(以下、「保持領域」と記載する)を含む部材である。なお、図3には、先端側が略V字状に成形されたプレート111を例示しているが、プレート111の形状を限定するものではない。
なお、高温のウェハWを保持する場合を考慮して、プレート111の素材は、セラミックスや繊維強化プラスチックといった耐熱性素材であることが好適である。
基端部112は、ハンド11の基端にあたる部材であり、その先端部分にはプレート111が連結される。また、基端部112の末端部分は、上記した関節部12fを介して、軸a3まわりに旋回可能に第2アーム12eへ連結される。
先端側突起部113L,113Rは、プレート111の先端側の対称位置に配設される。また、基端側突起部114L,114Rは、プレート111の基端側の対称位置に配設される。
そして、図3Aに示すように、ウェハWは、かかる先端側突起部113L,113Rおよび基端側突起部114L,114Rによって形成される保持領域Hにおいて保持される。
ここで、図3Bを参照しつつ、本実施形態におけるウェハWの保持方式について説明する。なお、図3Bの上段にはウェハWの保持前の状態を、図3Bの下段にはウェハWの保持後の状態を、それぞれ示している。
図3Bに示すように、ハンド11を側面方向からみた場合、先端側突起部113Rおよび基端側突起部114Rはそれぞれ台形状に成形されている。なお、図示略の先端側突起部113Lおよび基端側突起部114Lもこの点は同様である。
このように、先端側突起部113L,113Rおよび基端側突起部114L,114Rにプレート111の内側へ向けて傾斜する側面をもたせることによって、ハンド11は、保持領域Hをプレート111から浮いた領域として形成することができる。
すなわち、図3Bの上段から下段にかけて示すように、ハンド11は、ウェハWをかかる保持領域Hへ落とし込むように載置することによって、ウェハWをプレート111から浮かせた状態で下方から支持し、保持することができる。これにより、ウェハWが高温であっても、かかるウェハWの熱の影響をプレート111へ直接及ぼすことなく、かつ、安定的に、ウェハWを保持することができる。
なお、ウェハWと接することとなる先端側突起部113L,113Rおよび基端側突起部114L,114Rは、たとえば、ポリイミド樹脂などの超耐熱性素材から形成されることが好適である。また、図3Bでは、側面方向からみた場合に台形状である先端側突起部113L,113Rおよび基端側突起部114L,114Rを示したが、少なくともプレート111の内側へ向けて傾斜する側面を有していれば、その形状は特に限定されない。
図3Aの説明に戻り、光センサ115について説明する。光センサ115は、投光部115aから受光部115bに至る光路Vを形成し、投光部115a側の光量と受光部115b側の光量との差分に基づいてハンド11におけるウェハWの有無を検出する検出部である。なお、検出部は、かかる光量の差分が大きいほど、ウェハWによって光路Vが遮られたこと、すなわち、ハンド11にウェハWがあることを確実に検出することができる。
投光部115aは、発光素子などからなる光源である。また、受光部115bは、受光素子などからなり、投光部115aからの光を受光する。
なお、図3Aに示すように、投光部115aおよび受光部115bは、基端部112に配設される。すなわち、投光部115aおよび受光部115bをウェハWの保持されるプレート111から所定量離れた基端部112に配設することで、ウェハWの熱の影響を投光部115aおよび受光部115bに及びにくくすることができる。言い換えれば、光センサ115の動作の信頼性を確保し、ウェハWの有無を検出する確実性を上げることができる。
なお、図3Aでは図示していないが、ハンド11が、ウェハWを把持する把持機構を備えることとしてもよい。かかる把持機構を備える場合の一例については、図7A〜図7Cを用いて後述する。
次に、図3Aに示したM1部の模式拡大図である図4Aを用いて、投光部115aおよび受光部115bほかの各部材が形成する光路Vの詳細について説明する。なお、図4Aでは、説明の便宜から、投光部115aおよび受光部115bほかの各部材を誇張してあらわすこととする。
図4Aに示すように、基端側突起部114Lは、開口部114La,114Lbと、光路孔114Lcと、反射部材114Ldとを備える。同様に、基端側突起部114Rは、開口部114Ra,114Rbと、光路孔114Rcと、反射部材114Rdとを備える。
そして、図4Aに示すように、開口部114Laは、投光部115aに対向して配設される。また、開口部114Raは、受光部115bに対向して配設される。また、基端側突起部114L,114Rの模式側面図である図4Bに示すように、開口部114Lb,114Rbの双方は、略同一の高さ位置にそれぞれ対向して配設される。
なお、かかる開口部114Lb,114Rbの高さ位置は略同一でなくともよいが、この点については図5A〜図5Cを用いて後述する。また、開口部それぞれの形状は、図4Bに示すような円状に限らなくともよい。
また、図4Aに示すように、基端側突起部114Lの開口部114La,114Lbは、略L字状の光路孔114Lcによって連通され、かかる光路孔114Lcには、反射部材114Ldが配設される。同様に、基端側突起部114Rの開口部114Ra,114Rbは、光路孔114Rcによって連通され、かかる光路孔114Rcには、反射部材114Rdが配設される。
かかる構成により、図4Aに示すように、投光部115aから発せられた光は、基端側突起部114Lの開口部114Laから侵入し、光路孔114Lcを介しつつ反射部材114Ldによってその向きを変え、開口部114Lbから投出される。
また、開口部114Lbから投出された光は、ウェハWの保持領域Hを通過して基端側突起部114Rの開口部114Rbから侵入し、光路孔114Rcを介しつつ反射部材114Rdによってその向きを変え、開口部114Raから投出される。そして、開口部114Raから投出された光は、受光部115bによって受光される。
すなわち、図4Aに示すような、投光部115aから保持領域Hをプレート111(図3A参照)の延在方向と略垂直となるように通過して受光部115bへ至る略鈎状の光路Vが形成されることとなる。
なお、このような光路Vを形成した場合、光は、ウェハWの側面からウェハWの主面と略平行に入射することとなるが、かかる場合の利点について図4Cを用いて説明する。図4Cは、保持領域HにウェハWが保持されている場合の、図4Aに示すA−A’線におけるウェハWの端断面図である。なお、図4Cに示す矢印は、光を模式的に示したものである。
図4Cに示すように、ウェハWを側面方向(すなわち、X軸方向)からみた場合、ウェハWの側面は平らではないのが通常である。したがって、かかるウェハWの側面から光を入射させた場合、矢印201および矢印202に示すように、光の一部はウェハWの平らではない側面によって曲がりやすい。
これは、言い換えれば、保持領域HにウェハWが存在した場合に、ウェハWを透過する光を減衰しやすくできるということである。すなわち、上記した光量の差分を明確化できるので、ウェハWの有無を確実に検出できるというメリットを得ることができる。
なお、このように確実性の高い検出を行うための光路Vの形成にあたっては、反射部材114Ld,114Rdは、耐熱性に優れ、かつ、反射率の高い素材を含んで形成されることが好適である。かかる素材としては、たとえば、鏡面研磨された石英ガラスなどを挙げることができる。
上述してきたように、第1の実施形態に係るハンドおよびロボットは、保持部と、基端部と、光センサと、反射部材とを備える。保持部は、薄板状のワークであるウェハを保持する。基端部は、先端部分に保持部が連結されており、末端部分がアームへ旋回可能に連結される。光センサは、基端部に設けられ、投光部および受光部を有する。反射部材は、保持部に設けられ、投光部からの光を反射することによってウェハの保持領域を通過させて受光部へ至らせる光路を形成する。
したがって、第1の実施形態に係るハンドおよびロボットによれば、高熱の基板であっても確実に検出することができる。
ところで、上述した第1の実施形態では、反射部材が、基端側突起部に備えられる場合について説明したが、これに限られるものではなく、基端側突起部(あるいは先端側突起部)とは別個にプレート上に備えられてもよい。
また、上述した第1の実施形態では、先端側突起部がプレートの先端側に1対配設され、基端側突起部がプレートの基端側に1対配設される場合を例に挙げて説明したが、それぞれの個数を限定するものではない。
たとえば、1対の先端側突起部と、1個の基端側突起部とによって、ウェハを3点で保持することとしてもよい。かかる場合、上述のように基端側突起部とは独立して反射部材を備えることとすれば、第1の実施形態に示した光路と同様の光路を容易に形成することが可能である。
また、上述した第1の実施形態では、先端側突起部および基端側突起部が台形状である場合を例に挙げて説明したが、その形状を問うものではない。たとえば、円柱状や直方体状であってもよい。かかる円柱状や直方体状である場合には、その上面にウェハを載置することで、第1の実施形態に示したのと同様にウェハをプレートから浮かせた状態で保持することができる。また、かかる場合には、上述のように反射部材を独立してプレート上に配設することが好適である。
なお、これらの点については、以下に示す他の実施形態についても同様とする。
また、上述した第1の実施形態では、ウェハの保持領域を通過する光路がウェハの主面と平行となるように反射部材を配設する場合について説明したが、これを、ウェハの主面と非平行となるようにしてもよい。そこで、以下に示す第2の実施形態では、かかる場合について、図5A〜図5Dを用いて説明する。
なお、以下の第2の実施形態を含め、以降に示す各実施形態では、第1の実施形態と共通する説明を適宜省略する。
(第2の実施形態)
図5Aは、ウェハW1,W2の保持状態を示す図である。また、図5B〜図5Dは、第2の実施形態に係るハンド11Aの模式側面図である。
図5Aに示すように、熱処理工程を経て高温となったウェハW1は、反った状態でハンド11上に保持される場合がある。また、図5Aに示すように、反った状態でなくとも、やや斜めの状態で保持されるウェハW2のような場合も考えられる。
そこで、図5Bに示すように、第2の実施形態に係るハンド11Aは、それぞれ開口部(およびその内部の反射部材)の高さ位置が異なる基端側突起部114LA,114RAを備える。
これにより、反ったウェハW1あるいは斜めのウェハW2が確実に遮ることとなる光路Vを形成することができるので、反ったウェハW1あるいは斜めのウェハW2であっても確実にその有無を検出することが可能となる。
なお、図5Cに示すように、それぞれ上下に2つの開口部を有した基端側突起部114LB,114RBを備えることとしたうえで、斜めに交差する2つの光路V1,V2を形成することとしてもよい。
これにより、ウェハW1あるいはウェハW2が光路V1,V2を遮る確実性を増すことができるので、反ったウェハW1あるいは斜めのウェハW2であっても確実にその有無を検出することが可能となる。
なお、図5Cに示した例の場合、ハンド11Aは、その基端部に、光路V1および光路V2にそれぞれ対応する投光部および受光部のセットを備えることが好ましい。
また、図5Dに示すように、水平方向に略平行ではあるが相当幅を有する光路V3を形成可能な基端側突起部114LC,114RCを備えることとしてもよい。かかる光路V3は、相当量の光の出力が可能な投光部や、高い反射率を有する反射部材などを組み合わせることによって形成することができる。
これにより、ウェハW1あるいはウェハW2が光路V3を遮る確実性を増すことができるので、反ったウェハW1あるいは斜めのウェハW2であっても確実にその有無を検出することが可能となる。
なお、図5A〜図5Dでは、反ったウェハW1あるいは斜めのウェハW2を主として例に挙げているが、水平方向に略平行に保持されたウェハW(図4C参照)に対しても適用できることは言うまでもない。
上述したように、第2の実施形態に係るハンドおよびロボットは、保持部と、基端部と、光センサと、反射部材とを備える。保持部は、ウェハを保持する。基端部は、先端部分に保持部が連結されており、末端部分がアームへ旋回可能に連結される。光センサは、基端部に設けられ、投光部および受光部を有する。反射部材は、保持部に設けられ、投光部からの光を反射することによってウェハの保持領域をウェハの主面と非平行に通過させて受光部へ至らせる光路を形成する。
したがって、第2の実施形態に係るハンドおよびロボットによれば、基板の保持状態に関わらず、また、高熱の基板であっても、確実に検出することができる。
ところで、上述した各実施形態では、主に基端側突起部によって光路を形成する場合について説明したが、これを、先端側突起部を含めて形成することとしてもよい。そこで、以下に示す第3の実施形態では、かかる場合について、図6を用いて説明する。
(第3の実施形態)
図6は、第3の実施形態に係るハンド11Bの模式上面図である。図6に示すように、ハンド11Bは、反射部材(図示略)を有する先端側突起部113RAを備える点が、上述した各実施形態のハンド11およびハンド11Aとは異なる。
そして、ハンド11Bは、投光部115aから基端側突起部114LDを介して保持領域Hの中心Pの近傍を通過し、さらに先端側突起部113RAおよび基端側突起部114RDを介して受光部115bへ至る光路V4を形成する。すなわち、かかる光路V4は、基端側突起部114LDから先端側突起部113RAを経て基端側突起部114RDへ至る順に保持領域Hを通過することとなる。
これにより、たとえば、ウェハWが、ハンド11Bの先端側と基端側とでやや高さ位置を異ならせて斜めに保持されている場合であっても、ウェハWに確実に光路V4を遮らせることができる。すなわち、ウェハWの有無を確実に検出することができる。
なお、図6に示したハンド11Bの基端側突起部114RDにおいては、X軸方向に沿った開口部および光路孔のみを形成し、反射部材を備えずに光のみを通過させればよい。そして、かかる点は、図6に示したように、基端側突起部114RDが、先端側突起部113RAおよび受光部115bを結ぶ直線上に配設される場合を指すが、これら各部材の配置関係を限定するものではない。
したがって、たとえば、基端側突起部114RDを前述の直線上に配設しなくともよく、かかる場合には、基端側突起部114RDに対して反射部材(図示略)を備えることとしたうえで、基端側突起部114RDにおいても光を反射させればよい。また、かかる場合に、必ずしも基端側突起部114RDを介さなくともよい。すなわち、投光部115aから基端側突起部114LDを介して先端側突起部113RAへ至った後、かかる先端側突起部113RAから直接受光部115bへ至る光路を形成してもよい。
また、これまで先端側の突起部については先端側突起部113RAを例に挙げたが、先端側突起部113LAに対して反射部材(図示略)を備えることとし、かかる先端側突起部113LAを介して光路V4と同様の光路を形成してもよい。
上述したように、第3の実施形態に係るハンドおよびロボットは、保持部と、基端部と、光センサと、反射部材とを備える。保持部は、ウェハを保持する。基端部は、先端部分に保持部が連結されており、末端部分がアームへ旋回可能に連結される。光センサは、基端部に設けられ、投光部および受光部を有する。反射部材は、保持部に設けられ、投光部からの光を反射することによってウェハの保持領域の中心近傍を通過させて受光部へ至らせる光路を形成する。
したがって、第3の実施形態に係るハンドおよびロボットによれば、基板の保持状態に関わらず、また、高熱の基板であっても、確実に検出することができる。
ところで、上述した各実施形態では、水平方向のみに沿った光路を形成する場合について説明したが、これを、水平方向以外の方向を組み合わせることとしてもよい。そこで、以下に示す第4の実施形態では、かかる場合について、図7A〜図7Cを用いて説明する。なお、図7Aは、第4の実施形態の概要図であり、図7Bおよび図7Cは、第4の実施形態に係るハンド11Cの模式側面図である。
(第4の実施形態)
図7Aに光路V5として示すように、投光部115aから投出された光は、たとえば、途中で鉛直方向に沿ってその向きを変えつつ、受光部115bへ至ることとしてもよい。
これは、次のような場合に有効となる。たとえば、図7Bに示すように、ハンド11Cは、基端部112に把持機構116を備える。把持機構116は、押圧部116aを有しており、かかる押圧部116aを矢印301に示す方向へ突出させ、ウェハWをかかる押圧部116aと先端側突起部113L(および113R)との間に挟み込むことで把持する機構である。
かかる把持機構116は、ウェハWが高温などの非常温でない場合に、ウェハWを確実にハンド11Cにおいて把持するうえで有用となる。ここで、図7Bおよび図7Cに示すように、かかる把持機構116のような機構を基端部112に備える場合に、配設スペースの都合上、投光部115aおよび受光部115bを把持機構116の下段に配設する必要があるものとする。
かかる場合、図7Bおよび図7Cに示すように、ハンド11Cには、プレート111の内部に反射部材111L,111Rを備えることができる。また、さらに下方向に開口され、プレート111の内部と連通した基端側突起部114LE,114REを備えることで、図7Aに示した光路V5を形成することが可能となる。
これにより、投光部115aおよび受光部115bの配設位置に関わらず、ウェハWの有無を確実に検出可能な光路V5を形成することができる。
なお、ここでは、水平方向に対して鉛直方向を組み合わせて形成した光路V5を例に挙げて説明したが、鉛直方向に限られるものではなく、たとえば、斜め方向であってもよい。
上述したように、第4の実施形態に係るハンドおよびロボットは、保持部と、基端部と、光センサと、反射部材とを備える。保持部は、ウェハを保持する。基端部は、先端部分に保持部が連結されており、末端部分がアームへ旋回可能に連結される。光センサは、基端部に設けられ、投光部および受光部を有する。反射部材は、保持部に設けられ、投光部からの光を反射することによって受光部へ至らせる、投光部および受光部の配設位置に応じた光路を形成する。
したがって、第4の実施形態に係るハンドおよびロボットによれば、投光部および受光部の配設位置に関わらず、また、高熱の基板であっても、確実に検出することができる。
なお、上述した各実施形態では、主に高熱のウェハを保持する場合を例に挙げて説明したが、高温でない常温のウェハを検出する場合に適用できることは言うまでもない。
また、上述した各実施形態では、ウェハを保持部であるプレートの上面において保持する場合を例示したが、これに限られるものではない。たとえば、プレートの下面において吸着することによってウェハを保持することとしてもよい。また、かかる場合には、反射部材は、かかるプレートの下面におけるウェハの側面を通過する光路を形成することとすればよい。
また、上述した各実施形態では、反射部材が、板状の形状である場合を例示したが、反射部材の形状を限定するものではない。また、反射部材は、単体でなくともよい。また、反射部材は、たとえば、上述した光路孔の壁面と一体化していてもよい。
また、上述した各実施形態では、単腕に相当する1つのアームの先端部に2個のハンドが設けられている場合を例に挙げて説明したが、ハンドの個数を限定するものではなく、1個、もしくは、3個以上設けられていてもよい。
また、上述した各実施形態では、単腕ロボットを例に挙げて説明したが、双腕以上の多腕ロボットに適用することとしてもよい。
また、上述した各実施形態では、ワークが基板であり、かかる基板が主にウェハである場合を例に挙げて説明したが、基板の種別を問わず適用できることは言うまでもない。たとえば、液晶パネルディスプレイのガラス基板などであってもよい。また、薄板状のワークであれば、基板でなくともよい。
また、上述した各実施形態では、基板の搬送システムが備えるロボットを例に挙げて説明を行ったが、アームに設けられたハンドを用いて薄板状のワークを保持するロボットであれば、かかるロボットが備えられるシステムの種別を問うものではない。
さらなる効果や変形例は、当業者によって容易に導き出すことができる。このため、本発明のより広範な態様は、以上のように表しかつ記述した特定の詳細および代表的な実施形態に限定されるものではない。したがって、添付の特許請求の範囲およびその均等物によって定義される総括的な発明の概念の精神または範囲から逸脱することなく、様々な変更が可能である。
1 搬送システム
2 基板搬送部
3 基板供給部
4 基板処理部
10 ロボット
11、11A、11B、11C ハンド
11a 上段ハンド
11b 下段ハンド
12 アーム部
12a 昇降部
12b 関節部
12c 第1アーム
12d 関節部
12e 第2アーム
12f 関節部
13 基台
20 筐体
21、22 側面
23 基台設置フレーム
24 フィルタユニット
25 脚具
26 プリアライナ装置
30 フープ
31 テーブル
40 処理装置
50 制御装置
60 上位装置
100 設置面
111 プレート
111L、111R 反射部材
112 基端部
113L、113R 先端側突起部
113LA、113RA 先端側突起部
114L、114R 基端側突起部
114LA、114RA 基端側突起部
114LB、114RB 基端側突起部
114LC、114RC 基端側突起部
114LD、114RD 基端側突起部
114LE、114RE 基端側突起部
114La、114Lb、114Ra、114Rb 開口部
114Lc、114Rc 光路孔
114Ld、114Rd 反射部材
115 光センサ
115a 投光部
115b 受光部
116 把持機構
116a 押圧部

Claims (8)

  1. 薄板状のワークを保持する保持部と、
    先端部分に前記保持部を有し、末端部分がアームへ旋回可能に連結される基端部と、
    前記基端部に設けられ、投光部および受光部を有する光センサと、
    前記保持部に設けられ、前記投光部からの光を反射することによって前記ワークの保持領域を通過させて前記受光部へ至らせる光路を形成する複数の反射部材と
    を備え、
    前記保持部は、
    前記ワークへ接して該ワークを保持する複数の突起部を有し、
    前記反射部材は、
    前記突起部のうち少なくとも2つに設けられ、前記投光部からの光が少なくとも前記ワークの側面から入射して該ワークを横切るように前記光路を形成することを特徴とするロボットハンド。
  2. 前記反射部材は、
    耐熱性を有する素材を含むこと
    を特徴とする請求項1に記載のロボットハンド。
  3. 前記反射部材は、
    前記ワークの保持領域を通過する光路が、前記ワークの主面と平行となるように設けられること
    を特徴とする請求項1または2に記載のロボットハンド。
  4. 前記反射部材は、
    前記ワークの保持領域を通過する光路が、前記ワークの主面と非平行となるように設けられること
    を特徴とする請求項1または2に記載のロボットハンド。
  5. 前記反射部材は、
    前記ワークの保持領域を通過する光路が、前記ワークを上面視した場合の中心近傍を通過するように設けられること
    を特徴とする請求項1〜4のいずれか一つに記載のロボットハンド。
  6. 前記突起部は、
    該突起部の内部で連通する2つの開口部を側面に有するとともに、前記保持部の基端側の対称位置に1対が設けられ、
    前記反射部材は、
    前記光路が前記保持部の延在方向と垂直となるように前記開口部の連通する部位へ設けられること
    を特徴とする請求項1〜4のいずれか一つに記載のロボットハンド。
  7. 前記突起部は、
    前記保持部の先端側に少なくとも1つと、該保持部の基端側に1対とが設けられ、
    前記反射部材は、
    前記基端側の前記突起部の一方から前記先端側の前記突起部を経て前記基端側の前記突起部の他方へ至る順に前記光路が通過するように設けられること
    を特徴とする請求項に記載のロボットハンド。
  8. 請求項1〜のいずれか一つに記載のロボットハンド
    を備えることを特徴とするロボット。
JP2012014387A 2012-01-26 2012-01-26 ロボットハンドおよびロボット Expired - Fee Related JP5601331B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2012014387A JP5601331B2 (ja) 2012-01-26 2012-01-26 ロボットハンドおよびロボット
US13/606,024 US8820809B2 (en) 2012-01-26 2012-09-07 Robot hand and robot
TW101136670A TW201334932A (zh) 2012-01-26 2012-10-04 機械手及機械人
CN201210417437.6A CN103227129B (zh) 2012-01-26 2012-10-26 机器人手和机器人
KR20120120313A KR101495960B1 (ko) 2012-01-26 2012-10-29 로봇 핸드 및 로봇

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012014387A JP5601331B2 (ja) 2012-01-26 2012-01-26 ロボットハンドおよびロボット

Publications (2)

Publication Number Publication Date
JP2013154406A JP2013154406A (ja) 2013-08-15
JP5601331B2 true JP5601331B2 (ja) 2014-10-08

Family

ID=48837521

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012014387A Expired - Fee Related JP5601331B2 (ja) 2012-01-26 2012-01-26 ロボットハンドおよびロボット

Country Status (5)

Country Link
US (1) US8820809B2 (ja)
JP (1) JP5601331B2 (ja)
KR (1) KR101495960B1 (ja)
CN (1) CN103227129B (ja)
TW (1) TW201334932A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109986594A (zh) * 2019-05-14 2019-07-09 京东方科技集团股份有限公司 一种抓取装置以及包含其的机械手臂

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5750472B2 (ja) * 2013-05-22 2015-07-22 株式会社安川電機 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106292194B (zh) * 2015-05-24 2018-03-30 上海微电子装备(集团)股份有限公司 硅片传输系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI549794B (zh) * 2015-08-19 2016-09-21 精曜科技股份有限公司 機械承載臂以及晶圓的搬運方法
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106653672A (zh) * 2015-11-02 2017-05-10 沈阳芯源微电子设备有限公司 一种晶片传递装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6660157B2 (ja) * 2015-11-16 2020-03-11 川崎重工業株式会社 ロボット及びロボットによる作業方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108109951A (zh) * 2016-11-25 2018-06-01 沈阳芯源微电子设备有限公司 一种可对中的晶圆传递装置
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483138B2 (en) * 2017-03-09 2019-11-19 Himax Technologies Limited Wafer clamp and a method of clamping a wafer
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
CN107706141A (zh) * 2017-09-19 2018-02-16 上海微松工业自动化有限公司 一种半导体前置模块晶圆的传输工艺
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7105629B2 (ja) * 2018-06-20 2022-07-25 東京エレクトロン株式会社 自動教示方法及び制御装置
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102204884B1 (ko) * 2018-09-27 2021-01-19 세메스 주식회사 기판 반송 로봇 및 기판 처리 설비
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102612A (ko) * 2019-02-21 2020-09-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11427412B2 (en) * 2019-05-09 2022-08-30 Kawasaki Jukogyo Kabushiki Kaisha Substrate conveying robot and substrate conveying method
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
DE102019113764A1 (de) 2019-05-23 2020-11-26 Bayerische Motoren Werke Aktiengesellschaft Verfahren zur Konfiguration einer werkstückbezogenen Werkstückhalteeinrichtung für die Pressenautomatisierung
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021048322A (ja) * 2019-09-19 2021-03-25 株式会社Screenホールディングス 基板搬送装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN115136291A (zh) * 2020-02-17 2022-09-30 捷普有限公司 用于提供光纤耦合器的装置、系统和方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7470586B2 (ja) 2020-07-14 2024-04-18 東京エレクトロン株式会社 基板搬送システム及び基板搬送方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4983093A (en) * 1982-05-24 1991-01-08 Proconics International, Inc. Wafer transfer apparatus
US4682928A (en) * 1982-05-24 1987-07-28 Proconics International, Inc. Wafer transfer apparatus
JPH06345261A (ja) * 1993-04-16 1994-12-20 Daihen Corp 自動搬送装置の搬送用ハンド
US5466945A (en) * 1994-03-23 1995-11-14 Eaton Corporation Apparatus for detecting proper positioning of objects in a holder
JPH10175734A (ja) * 1996-12-18 1998-06-30 Hitachi Ltd 基板搬送機構
EP1112220A1 (en) * 1998-07-11 2001-07-04 Semitool, Inc. Robots for microelectronic workpiece handling
US6298280B1 (en) * 1998-09-28 2001-10-02 Asyst Technologies, Inc. Method for in-cassette wafer center determination
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6615113B2 (en) * 2001-07-13 2003-09-02 Tru-Si Technologies, Inc. Articles holders with sensors detecting a type of article held by the holder
TW558058U (en) * 2002-05-03 2003-10-11 Nanya Technology Corp Wafer carrying apparatus
JP2004119554A (ja) 2002-09-25 2004-04-15 Rorze Corp 薄板状物の把持装置及びそれを具えた製造設備
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
CN100440421C (zh) * 2003-06-27 2008-12-03 马特森技术公司 用于装卸半导体晶片的末端执行器
JP2006005318A (ja) * 2004-06-21 2006-01-05 Nikon Corp 基板搬送装置および露光装置
JP4439464B2 (ja) * 2005-12-06 2010-03-24 東京エレクトロン株式会社 基板搬送方法及び基板搬送装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109986594A (zh) * 2019-05-14 2019-07-09 京东方科技集团股份有限公司 一种抓取装置以及包含其的机械手臂

Also Published As

Publication number Publication date
CN103227129A (zh) 2013-07-31
KR101495960B1 (ko) 2015-02-25
JP2013154406A (ja) 2013-08-15
US20130193703A1 (en) 2013-08-01
CN103227129B (zh) 2015-10-14
TW201334932A (zh) 2013-09-01
KR20130086914A (ko) 2013-08-05
US8820809B2 (en) 2014-09-02

Similar Documents

Publication Publication Date Title
JP5601331B2 (ja) ロボットハンドおよびロボット
TWI603420B (zh) 基板翻轉裝置及基板處理裝置
JP5522181B2 (ja) 搬送ロボット
JP5949741B2 (ja) ロボットシステム及び検出方法
TWI362081B (ja)
US20100290886A1 (en) Robot having end effector and method of operating the same
TWI745816B (zh) 基板搬送機器人及自動教示方法
JP2005277175A (ja) 縦型熱処理装置及び移載機構の自動教示方法
JP2018049873A (ja) 基板処理装置及び基板搬送方法
TWI517951B (zh) 機器人系統
JP2009049232A (ja) 基板処理装置
JP2009064807A (ja) 基板位置ずれ検出システム
JP2018098301A (ja) 基板処理装置
KR101503120B1 (ko) 반송 시스템
TW202308024A (zh) 裝載端口
JPH11214481A (ja) 固体デバイス製造装置
JP4976811B2 (ja) 基板処理システム、基板搬送装置、基板搬送方法、および記録媒体
JP6090035B2 (ja) 液処理装置
JP2004241484A (ja) 基板の搬送装置及び搬送装置の位置ずれ検出方法
JP7421077B2 (ja) 搬送システム
JPH10321706A (ja) キャリア載置機構
KR100583728B1 (ko) 웨이퍼 이송 로봇 및 이를 이용한 반도체 제조 설비
JP2010287692A (ja) 基板カバー

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140430

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140722

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140804

R150 Certificate of patent or registration of utility model

Ref document number: 5601331

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees