KR20130086914A - 로봇 핸드 및 로봇 - Google Patents

로봇 핸드 및 로봇

Info

Publication number
KR20130086914A
KR20130086914A KR1020120120313A KR20120120313A KR20130086914A KR 20130086914 A KR20130086914 A KR 20130086914A KR 1020120120313 A KR1020120120313 A KR 1020120120313A KR 20120120313 A KR20120120313 A KR 20120120313A KR 20130086914 A KR20130086914 A KR 20130086914A
Authority
KR
South Korea
Prior art keywords
wafer
proximal end
workpiece
robot
optical path
Prior art date
Application number
KR1020120120313A
Other languages
English (en)
Other versions
KR101495960B1 (ko
Inventor
류지 안도
가즈노리 히노
아키히로 후루타니
Original Assignee
가부시키가이샤 야스카와덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 야스카와덴키 filed Critical 가부시키가이샤 야스카와덴키
Publication of KR20130086914A publication Critical patent/KR20130086914A/ko
Application granted granted Critical
Publication of KR101495960B1 publication Critical patent/KR101495960B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/07Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for semiconductor wafers Not used, see H01L21/677
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

실시예들에 따른 로봇 핸드는 보지 유닛, 기단부, 광 센서 및 반사 부재를 포함한다. 상기 보지 유닛은 박판 형상의 워크피스를 보지한다. 상기 기단부에서, 그 선단부는 상기 보지 유닛에 커플링되고, 그 말단부는 아암에 선회가능하게 커플링된다. 상기 광 센서는 상기 기단부에 마련되며, 투광부와 수광부를 갖는다. 상기 반사 부재는 상기 보지 유닛에 마련된다. 상기 반사 부재는, 상기 투광부로부터의 빛을 반사하여 상기 워크피스의 보지 영역을 통과시키고 상기 수광부에 도달하게 함으로써, 광로를 형성한다.

Description

로봇 핸드 및 로봇{ROBOT HAND AND ROBOT}
본 명세서에 개시된 실시예들은 로봇 핸드 및 로봇에 관한 것이다.
종래, EFEM(Equipment Front End Module)이라 불리우는 국소 클린 장치 내에 형성된 공간에서, 웨이퍼 등의 기판을 반도체 제조 프로세스에서의 프로세스 처리 장치로 반입 및 반출하는 로봇이 알려져 있다.
이러한 로봇은, 일반적으로, 아암과, 아암의 선단부에 마련된 로봇 핸드를 포함하고 있다. 상기 로봇은 로봇 핸드를 이용하여 기판을 보지하면서, 아암을 수평 방향 등으로 동작시킴으로써 기판을 반입 및 반출한다.
여기서, 반입 및 반출시, 로봇 핸드에서의 기판의 유무는, 예를 들어, 로봇 핸드의 선단부에 대향 배치된 투광부와 수광부에 의해 형성되는 광축이 기판에 의해 차단되는지의 여부에 의해서 검출된다(예를 들어, 일본 특허 공개 제 2004-119554 호 공보 참조).
그런데, 반도체 제조 프로세스에는, 통상적으로, 성막 처리 등의 열처리 공정이 포함된다. 이러한 이유로, 상기 로봇은 열처리 공정을 거쳐서 고온이 된 기판을 반송하는 경우가 많다.
그렇지만, 상기 로봇 핸드를 채용한 경우에는, 고온이 된 기판을 로봇 핸드가 반송할 때, 상기 투광부나 수광부가 기판의 방사열의 영향을 받게 되어, 기판의 유무를 검출할 수 없게 될 가능성이 있다.
실시예들의 일 양태는, 상술한 문제점들을 감안하여 이루어진 것으로서, 상기 실시예들은 기판이 매우 고온인 경우에도 기판을 확실히 검출할 수 있는 로봇 핸드 및 로봇을 제공하는 것을 목적으로 한다.
실시예들의 일 양태에 따른 로봇 핸드는 보지 유닛, 기단부, 광 센서 및 반사 부재를 포함한다. 상기 보지 유닛은 박판 형상의 워크피스를 보지한다. 상기 기단부에서, 그 선단부는 상기 보지 유닛에 커플링되고, 그 말단부는 아암에 선회가능하게 커플링된다. 상기 광 센서는 상기 기단부에 마련되며, 투광부와 수광부를 갖는다. 상기 반사 부재는 상기 보지 유닛에 마련된다. 상기 반사 부재는, 상기 투광부로부터의 빛을 반사하여 상기 워크피스의 보지 영역을 통과시키고 상기 수광부에 도달하게 함으로써, 광로를 형성한다.
실시예들의 일 양태에 따르면, 기판이 매우 고온인 경우에도 기판을 확실히 검출할 수 있다.
본 발명에 대한 보다 완전한 인식이나 그에 수반되는 많은 이점들은, 첨부 도면을 참조하여 이하의 발명의 상세한 설명을 숙독하면, 용이하게 이해할 수 있을 것이다.
도 1은 실시예에 따른 로봇을 포함하는 반송 시스템의 전체 구성을 도시한 개략도이다.
도 2는 실시예에 따른 로봇의 구성을 도시한 개략도이다.
도 3a는 제 1 실시예에 따른 핸드를 개략적으로 도시한 평면도이다.
도 3b는 제 1 실시예에 따른 핸드를 개략적으로 도시한 측면도이다.
도 4a는 도 3a에 도시된 M1부를 개략적으로 도시한 확대도이다.
도 4b는 기단측 돌기부를 개략적으로 도시한 측면도이다.
도 4c는 도 4a에 도시된 A-A'선으로부터 본 웨이퍼의 단면도이다.
도 5a는 웨이퍼의 보지 상태를 도시한 도면이다.
도 5b, 도 5c 및 도 5d는 제 2 실시예에 따른 핸드를 개략적으로 도시한 측면도이다.
도 6은 제 3 실시예에 따른 핸드를 개략적으로 도시한 평면도이다.
도 7a는 제 4 실시예에 따른 투광부와 수광부를 도시한 개요도이다.
도 7b 및 도 7c는 제 4 실시예에 따른 핸드를 개략적으로 도시한 측면도이다.
이하, 첨부 도면을 참조하여, 본원의 실시예들에 따른 로봇 핸드 및 로봇을 상세하게 설명한다. 또한, 이하에 개시된 실시예들은 본 발명을 한정하고자 의도한 것이 아니다.
또한, 이하에서는, 반송 대상물인 워크피스가 기판이며, 상기 기판이 반도체 웨이퍼인 것으로 간주한다. 상기 "반도체 웨이퍼"를 "웨이퍼"라 기재한다. 또한, 엔드 이펙터인 "로봇 핸드"를 "핸드"라 기재한다.
(제 1 실시예)
먼저, 실시예에 따른 로봇을 포함하는 반송 시스템의 전체 구성에 대해 도 1을 참조하여 설명한다. 도 1은 실시예에 따른 로봇을 포함하는 반송 시스템(1)의 전체 구성을 도시한 개략도이다.
설명을 쉽게 이해할 수 있도록 하기 위해, 도 1에는 연직 상향을 정 방향으로 하고, 연직 하향(또는, 연직 방향)을 부 방향으로 하는 Z-축을 포함하는 3차원의 직교 좌표계가 도시되어 있다. 따라서, XY 평면을 따라 취한 방향은 "수평 방향"을 의미한다. 상기 직교 좌표계는 이하의 설명에 이용되는 다른 도면들에서도 채용될 수 있다.
이하에서, 복수의 부분들로 구성되는 구성 요소에 대해서는 복수의 부분들 중 1개의 부분에만 1개의 도면부호를 부여하고, 그 이외의 부분들에 대해서는 도면부호의 부여를 생략하는 경우가 있다. 이러한 경우, 도면부호가 부여된 1개의 부분과 그 이외의 부분들은 동일한 구성인 것으로 한다.
도 1에 도시된 바와 같이, 반송 시스템(1)은 기판 반송 유닛(2), 기판 공급 유닛(3) 및 기판 처리 유닛(4)을 포함한다. 기판 반송 유닛(2)은 로봇(10)과, 상기 로봇(10)이 내부에 배설되는 케이스(20)를 포함한다. 또한, 기판 공급 유닛(3)이 상기 케이스(20)의 일 측면(21)에 마련되고, 기판 처리 유닛(4)이 상기 케이스(20)의 타 측면(22)에 마련된다. 여기서, 도면 중의 도면부호(100)는 반송 시스템(1)의 설치면을 나타낸다.
로봇(10)은 반송 대상물인 웨이퍼(W)를 상하 2단으로 보지할 수 있는 핸드(11)를 가진 아암부(12)를 포함한다. 아암부(12)는 케이스(20)의 저벽부를 형성하는 기대 설치 프레임(23) 상에 설치되는 기대(13)에 대하여 자유롭게 승강하고 수평 방향으로 자유롭게 선회하도록 지지된다. 로봇(10)의 세부에 대해서는 도 2를 참조하여 이후에 설명하기로 한다.
케이스(20)는 소위 EFEM이며, 그 상부에 마련된 필터 유닛(24)을 통해 클린 에어의 다운 플로우를 형성한다. 이러한 다운 플로우에 의해, 케이스(20)의 내부는 고도의 클린 상태로 보지된다. 또한, 기대 설치 프레임(23)의 하면에는 다리부(25)가 마련되어, 케이스(20)와 설치면(100) 사이에 소정의 클리어런스(C)를 제공하면서 케이스(20)를 지지한다.
기판 공급 유닛(3)은 복수의 웨이퍼(W)를 높이 방향으로 다단식으로 수납하는 후프(30)와, 웨이퍼(W)를 케이스(20) 내외로 취출하기 위해 상기 후프(30)의 덮개를 개폐하는 후프 오프너(도시하지 않음)를 포함한다. 또한, 후프(30)와 후프 오프너로 이루어진 다수의 세트가 소정의 높이를 가진 테이블(31) 상에 소정의 간격을 두고 병설될 수 있다.
기판 처리 유닛(4)은 세정 처리, 성막 처리 및 포토리소그래피 처리와 같은 반도체 제조 프로세스에 있어서의 소정의 처리를 웨이퍼(W)에 대하여 실시하는 프로세스 처리 유닛이다. 기판 처리 유닛(4)은 소정의 프로세스를 처리하는 처리 장치(40)를 포함한다. 상기 처리 장치(40)는 케이스(20)의 타 측면(22)에, 로봇(10)을 사이에 두고, 기판 공급 유닛(3)과 대향하도록 배치된다.
케이스(20)에는 웨이퍼(W)의 센터링이나 노치 맞춤을 실시하는 프리얼라이너(pre-aligner) 장치(26)가 마련된다.
이러한 구성을 채용함으로써, 반송 시스템(1)은, 로봇(10)이 승강 동작이나 선회 동작을 취하게 하면서, 로봇(10)이 후프(30) 내의 웨이퍼(W)를 취출하여, 프리얼라이너 장치(26)를 거쳐서 처리 장치(40)로 웨이퍼(W)를 반입하도록 한다. 그리고, 처리 장치(40)에 의해 소정의 프로세스가 실시된 웨이퍼(W)를 로봇(10)의 동작에 의해 취출하고 반송하여, 후프(30) 내에 재수납한다.
이하, 실시예에 따른 로봇(10)의 구성에 대해 도 2를 참조하여 설명하기로 한다. 도 2는 실시예에 따른 로봇(10)의 구성을 도시한 개략도이다.
도 2에 도시된 바와 같이, 로봇(10)은 핸드(11), 아암부(12) 및 기대(13)를 포함한다. 아암부(12)는 승강부(12a), 관절부(12b, 12d, 12f), 제 1 아암(12c) 및 제 2 아암(12e)을 포함한다.
기대(13)는 전술한 바와 같이 기대 설치 프레임(23)(도 1 참조) 상에 마련되는 로봇(10)의 베이스부이다. 승강부(12a)는 상기 기대(13)로부터 연직 방향(Z-축 방향)으로 슬라이드하도록 마련된다(도 2의 쌍촉 화살표(aO) 참조). 따라서, 승강부(12a)는 연직 방향을 따라 아암부(12)를 승강시킨다.
관절부(12b)는 축(a1)을 중심으로 한 회전 관절이다(도 2의 축(a1) 주위의 쌍촉 화살표 참조). 제 1 아암(12c)은 상기 관절부(12b)를 거쳐서 승강부(12a)에 대하여 선회 가능하게 커플링된다.
관절부(12d)는 축(a2)을 중심으로 한 회전 관절이다(도 2의 축(a2) 주위의 쌍촉 화살표 참조). 제 2 아암(12e)은 상기 관절부(12d)를 거쳐서 제 1 아암(12c)에 대하여 선회 가능하게 커플링된다.
관절부(12f)는 축(a3)을 중심으로 한 회전 관절이다(도 2의 축(a3) 주위의 쌍촉 화살표 참조). 핸드(11)는 상기 관절부(12f)를 거쳐서 제 2 아암(12e)에 대하여 선회 가능하게 커플링된다.
로봇(10)에는 모터 등의 구동원(도시되지 않음)이 마련된다. 관절부(12b), 관절부(12d) 및 관절부(12f)는 구동원의 구동에 따라 회전하게 된다.
핸드(11)는 웨이퍼(W)를 보지하는 엔드 이펙터이다. 핸드(11)는 높이가 다른 상단 핸드(11a)와 하단 핸드(11b)의 2개의 핸드를 포함한다. 상단 핸드(11a)와 하단 핸드(11b)는 축(a3)을 공통의 선회 축으로 사용하여 근접하도록 마련되며, 축(a3)을 중심으로 각각 독립하여 선회할 수 있다.
그리고, 실시예에 따른 반송 시스템(1)은 상단 핸드(11a)와 하단 핸드(11b) 각각에 웨이퍼(W)를 탑재하여, 예를 들어, 2개의 웨이퍼를 동시에 로봇(10)으로 반송한다. 따라서, 작업의 효율화나 처리량의 향상 등을 도모할 수 있다.
상단 핸드(11a)와 하단 핸드(11b)의 세부 구성에 대해 후술한다. 이하에서, 상단 핸드(11a)와 하단 핸드(11b)가 동일한 구성을 갖는 것으로 간주하고, 포괄적으로 "핸드(11)"라 기재한다. 그러나, 이와 관련하여, 상단 핸드(11a)와 하단 핸드(11b)가 동일한 구성으로 한정되는 것은 아니다.
또한, 로봇(10)의 각종 동작은 제어 장치(50)에 의해서 제어된다. 제어 장치(50)는 로봇(10)과 상호 통신 가능하도록 접속된다. 예를 들어, 제어 장치(50)는 케이스(20)(도 1 참조) 내부의 로봇(10)의 흉부(breast)나 케이스(20)의 외부에 배설된다. 또한, 로봇(10)과 제어 장치(50)가 서로 일체화될 수 있다.
또한, 제어 장치(50)에 의해 실시되는 로봇(10)의 각종 동작의 동작 제어는 제어 장치(50)에 미리 저장되어 있는 교시 데이터에 근거하여 실시된다. 그러나, 교시 데이터는 상호 통신 가능하도록 접속된 상위 장치(60)로부터 취득될 수 있다. 또한, 상위 장치(60)는 로봇(10)(및 다른 구성 요소)에 대한 순차적인 상태 모니터링을 실시할 수 있다.
이하, 제 1 실시예에 따른 핸드(11)의 세부 구성에 대하여, 도 3a 및 도 3b를 참조하여 설명한다. 도 3a는 제 1 실시예에 따른 핸드(11)의 개략적인 평면도이다. 도 3b는 제 1 실시예에 따른 핸드(11)의 개략적인 측면도이다.
도 3a 및 도 3b는 핸드(11)의 선단부가 X-축의 정 방향을 향하고 있는 것을 도시하고 있다.
도 3a에 도시된 바와 같이, 핸드(11)는 플레이트(111)(보지 유닛), 기단부(112), 선단측 돌기부(113L, 113R), 기단측 돌기부(114L, 114R) 및 광 센서(115)를 포함한다. 광 센서(115)는 투광부(115a)와 수광부(115b)를 포함한다.
플레이트(111)는 웨이퍼(W)가 보지되는 영역(이하, "보지 영역"이라 함)을 포함하는 부재이다. 또한, 도 3에는 선단측이 대략 V자 형상으로 성형된 플레이트(111)가 예시되어 있다. 그러나, 플레이트(111)의 형상이 이에 한정되는 것은 아니다.
고온의 웨이퍼(W)를 보지하는 경우를 고려하여, 플레이트(111)의 소재는, 예컨대, 세라믹 또는 섬유 강화 플라스틱과 같은 내열성 소재인 것이 바람직하다.
기단부(112)는 핸드(11)의 기단부에 해당하는 부재이다. 기단부(112)의 선단은 플레이트(111)에 커플링된다. 또한, 기단부(112)의 말단은 상기 관절부(12f)를 거쳐서 축(a3)을 중심으로 선회 가능하게 제 2 아암(12e)에 커플링된다.
선단측 돌기부(113L, 113R)는 플레이트(111)의 선단측 대칭 위치에 배설된다. 또한, 기단측 돌기부(114L, 114R)는 플레이트(111)의 기단측 대칭 위치에 배설된다.
도 3a에 도시된 바와 같이, 웨이퍼(W)는 선단측 돌기부(113L, 113R)와 기단측 돌기부(114L, 114R)에 의해 형성되는 보지 영역(H)에 보지된다.
여기서, 도 3b를 참조하여, 본 실시예에 따른 웨이퍼(W)의 보지 방식에 대해 설명하기로 한다. 이 경우, 도 3b의 상단은 웨이퍼(W)의 보지 전 상태를 도시하고 있고, 도 3b 하단은 웨이퍼(W)의 보지 후 상태를 도시하고 있다.
도 3b에 도시된 바와 같이, 핸드(11)를 측면 방향에서 보면, 선단측 돌기부(113R)와 기단측 돌기부(114R)는 사다리꼴로 성형되어 있다. 마찬가지로, (도시되지 않은) 선단측 돌기부(113L)와 기단측 돌기부(114L)도 사다리꼴로 성형되어 있다.
전술한 바와 같이, 선단측 돌기부(113L, 113R)와 기단측 돌기부(114L, 114R)가 플레이트(111)의 내측을 향하여 경사진 측면을 갖기 때문에, 핸드(11)가 플레이트(111)로부터 부유하도록 형성된 보지 영역(H)을 가질 수 있다.
즉, 도 3b의 상단과 하단에 도시된 바와 같이, 핸드(11)는 웨이퍼(W)를 보지 영역(H)으로 낙하시켜 탑재하여, 웨이퍼(W)를 플레이트(111)로부터 부유된 상태로 하방으로부터 지지하여 보지할 수 있다. 그 결과, 웨이퍼(W)가 매우 고온인 경우에도, 웨이퍼(W)의 열이 플레이트(111)에 직접 전달되지 않고, 웨이퍼(W)를 안정적으로 보지할 수 있다.
또한, 웨이퍼(W)와 접촉하게 되는 선단측 돌기부(113L, 113R)와 기단측 돌기부(114L, 114R)는 폴리이미드 수지와 같은 초내열성 소재로 형성되는 것이 바람직하다. 도 3b에는 측면 방향에서 볼 때 사다리꼴인 선단측 돌기부(113L, 113R)와 기단측 돌기부(114L, 114R)가 도시되어 있다. 이들이 단지 약간이라도 플레이트(111)의 내측을 향하여 경사진 측면을 갖고 있으면, 그 형상은 사다리꼴로 한정되지 않는다.
도 3a를 다시 참조하여, 광 센서(115)에 대하여 설명하기로 한다. 광 센서(115)는 투광부(115a)로부터 시작하여 수광부(115b)에 도달하는 광로(V)를 형성한다. 광 센서(115)는 투광부(115a)의 광량과 수광부(115b)의 광량의 차이에 근거하여 핸드(11)에서의 웨이퍼(W) 유무를 검출하는 검출 유닛이다. 또한, 검출 유닛은, 광량의 차이가 클수록, 웨이퍼(W)에 의한 광로(V)의 차단을 확실히 검출할 수 있으며, 즉, 핸드(11)에 웨이퍼(W)가 위치되어 있음을 확실히 검출할 수 있다.
투광부(115a)는 발광 소자 등으로 이루어진 광원이다. 또한, 수광부(115b)는 수광 소자 등으로 이루어지며, 투광부(115a)로부터의 빛을 수광한다.
도 3a에 도시된 바와 같이, 투광부(115a)와 수광부(115b)는 기단부(112)에 배설된다. 즉, 투광부(115a)와 수광부(115b)가 웨이퍼(W)를 보지하는 플레이트(111)로부터 소정량 이격된 기단부(112)에 배설되기 때문에, 웨이퍼(W)의 열의 영향이 투광부(115a)와 수광부(115b)에 도달하기 어렵다. 환언하면, 광 센서(115)의 동작의 신뢰성을 확보하여, 웨이퍼(W)의 유무를 검출하는 확실성을 향상시킬 수 있다.
도 3a에는 도시되어 있지 않지만, 핸드(11)는 웨이퍼(W)를 파지하는 파지 기구를 포함할 수 있다. 이러한 파지 기구를 포함한 핸드의 일 예에 대하여, 도 7a 내지 도 7c를 참조하여 후술한다.
이하, 도 3a에 도시된 M1부의 개략적인 확대도인 도 4a를 참조하여, 투광부(115a)와 수광부(115b) 이외의 부재들이 형성하는 광로(V)의 세부에 대하여 설명하기로 한다. 도 4a에서, 설명의 편의를 위해서, 투광부(115a)와 수광부(115b) 이외의 부재들이 과장하여 도시되어 있다.
도 4a에 도시된 바와 같이, 기단측 돌기부(114L)는 개구부(114La, 114Lb), 광로 홀(114Lc) 및 반사 부재(114Ld)를 포함한다. 마찬가지로, 기단측 돌기부(114R)는 개구부(114Ra, 114Rb), 광로 홀(114Rc) 및 반사 부재(114Rd)를 포함한다.
도 4a에 도시된 바와 같이, 개구부(114La)는 투광부(115a)에 대향하여 배설되고, 개구부(114Ra)는 수광부(115b)에 대향하여 배설된다. 또한, 기단측 돌기부(114L, 114R)의 개략적인 측면도인 도 4b에 도시된 바와 같이, 양 개구부(114Lb, 114Rb)는 서로 대면하도록 실질적으로 동일한 높이에 배설된다.
여기서, 개구부(114Lb, 114Rb)의 높이가 동일하지 않을 수 있다. 이 점에 대해서는 도 5a 내지 도 5c를 참조하여 후술하기로 한다. 또한, 각각의 개구부의 형상은 도 4b에 도시된 원 형상으로 한정되지 않을 수 있다.
도 4a에 도시된 바와 같이, 기단측 돌기부(114L)의 개구부(114La, 114Lb)는 실질적으로 L자 형상의 광로 홀(114Lc)에 의해서 서로 연통된다. 상기 광로 홀(114Lc)에는 반사 부재(114Ld)가 배설된다. 마찬가지로, 기단측 돌기부(114R)의 개구부(114Ra, 114Rb)는 광로 홀(114Rc)에 의해서 서로 연통된다. 상기 광로 홀(114Rc)에는 반사 부재(114Rd)가 배설된다.
이러한 구성을 채용함으로써, 도 4a에 도시된 바와 같이, 투광부(115a)로부터 방출된 빛은 개구부(114La)로부터 광로 홀(114Lc)로 침입하여, 반사 부재(114Ld)에 의해 그 방향이 변화되어, 기단측 돌기부(114L)의 개구부(114Lb)로부터 투출(投出)된다.
또한, 개구부(114Lb)로부터 투출된 빛은 웨이퍼(W)의 보지 영역(H)을 통과하여 개구부(114Rb)로부터 광로 홀(114Rc)로 침입하고, 반사 부재(114Rd)에 의해 그 방향이 변화되어, 기단측 돌기부(114R)의 개구부(114Ra)로부터 투출된다. 그리고, 개구부(114Ra)로부터 투출된 빛은 수광부(115b)에 의해 수광된다.
즉, 도 4a에 도시된 바와 같이, 투광부(115a)로부터 시작된 빛은, 플레이트(111)(도 3a 참조)의 연장 방향에 대해 실질적으로 수직하도록 보지 영역(H)을 통과하여 수광부(115b)에 도달함으로써, 실질적으로 후크 형상의 광로(V)를 형성하게 된다.
이와 같은 광로(V)가 형성되는 경우, 빛은 웨이퍼(W)의 측면으로부터 웨이퍼(W)의 주면과 실질적으로 평행하게 입사된다. 이러한 경우의 이점에 대하여 도 4c를 참조하여 설명하기로 한다. 도 4c는, 보지 영역(H)에 웨이퍼(W)가 보지되어 있는 경우, 도 4a의 A-A'선으로부터 본 웨이퍼(W)의 단면도이다. 이 경우에서, 도 4c에 도시된 화살표는 빛을 개략적으로 나타낸 것이다.
도 4c에 도시된 바와 같이, 웨이퍼(W)를 측면 방향(즉, X-축 방향)으로부터 보면, 웨이퍼(W)의 측면이 평평하지 않은 것이 통상적이다. 따라서, 웨이퍼(W)의 측면으로부터 빛이 입사되면, 화살표(201, 202)로 도시된 바와 같이, 빛의 일부가 평평하지 않은 웨이퍼(W)의 측면으로 인해 휘어지기 쉽다.
즉, 이는, 보지 영역(H)에 웨이퍼(W)가 존재하는 경우, 웨이퍼(W)를 투과하는 빛이 감쇠되기 쉽다는 것을 의미한다. 즉, 광량의 차이를 명확하게 할 수 있기 때문에, 웨이퍼(W)의 유무를 확실히 검출할 수 있다는 장점을 얻을 수 있다.
확실성이 높은 검출을 실시하는 광로(V)가 전술한 바와 같이 형성되는 경우, 반사 부재(114La, 114Rd)는 내열성이 뛰어나고 반사율이 높은 소재로 형성되는 것이 바람직하다. 그러한 소재로서는, 예를 들어, 경면 연마된 석영 유리 등을 들 수 있다.
전술한 바와 같이, 제 1 실시예에 따른 핸드 및 로봇은 각각 보지 유닛, 기단부, 광 센서 및 반사 부재를 포함한다. 보지 유닛은 박판 형상의 워크피스인 웨이퍼를 보지한다. 기단부에서, 그 선단부는 보지 유닛에 커플링되며, 그 말단부는 아암에 선회 가능하게 커플링된다. 광 센서는 기단부에 마련되며, 투광부와 수광부를 갖는다. 반사 부재는 보지 유닛에 마련된다. 반사 부재는, 투광부로부터의 빛을 반사하여 웨이퍼의 보지 영역을 통과시키고 수광부에 도달하도록 함으로써, 광로를 형성한다.
따라서, 제 1 실시예에 따른 핸드 및 로봇에 의하면, 기판이 매우 고온인 경우에도, 기판을 확실히 검출할 수 있다.
그런데, 제 1 실시예에서는 반사 부재가 기단측 돌기부에 포함된 경우에 대하여 설명했다. 그러나, 본 실시예는 이에 한정되는 것이 아니다. 반사 부재는 기단측 돌기부(또는 선단측 돌기부)와 별개로 플레이트 상에 마련될 수 있다.
또한, 전술한 제 1 실시예에서는 한 쌍의 선단측 돌기부가 플레이트의 선단측에 배설되고 한 쌍의 기단측 돌기부가 플레이트의 기단측에 배설되는 경우를 예를 들어 설명했다. 그러나, 돌기부의 개수가 이에 한정되는 것은 아니다.
예를 들어, 한 쌍의 선단측 돌기부와 1개의 기단측 돌기부가 웨이퍼를 3점에서 보지할 수 있다. 이러한 경우, 기단측 돌기부와는 독립된 반사 부재가 포함된 것으로 가정하면, 제 1 실시예에서 설명한 광로와 동일한 광로가 용이하게 형성될 수 있다.
또한, 전술한 제 1 실시예에서는, 선단측 돌기부와 기단측 돌기부가 사다리꼴 형상인 경우를 예를 들어 설명했다. 그러나, 돌기부들의 형상이 사다리꼴에 한정되는 것은 아니다. 예를 들어, 돌기부들의 형상이 원주 형상이나 직육면체 형상일 수 있다. 형상이 원주 형상이나 직육면체 형상인 경우, 그 상면에 웨이퍼를 탑재함으로써, 제 1 실시예와 마찬가지로 웨이퍼를 플레이트로부터 부유된 상태로 보지할 수 있다. 이 경우, 전술한 바와 같이 플레이트 상에 반사 부재를 독립적으로 배설하는 것이 바람직하다.
이러한 점들은 이하에 설명되는 다른 실시예들에 대해서도 동일하게 적용된다.
전술한 제 1 실시예에서는 웨이퍼의 보지 영역을 통과하는 광로가 웨이퍼의 주면과 평행하도록 반사 부재를 배설하는 경우에 대하여 설명했다. 그러나, 광로가 웨이퍼의 주면과 평행하지 않도록 반사 부재를 배설할 수 있다. 따라서, 이하에 설명되는 제 2 실시예에서는 그러한 경우에 대하여 도 5a 내지 도 5d를 참조하여 설명하기로 한다.
제 2 실시예를 포함한 이후의 실시예들에서, 제 1 실시예와 공통되는 설명은 생략한다.
(제 2 실시예)
도 5a는 웨이퍼(W1, W2)의 보지 상태를 도시한 도면이다. 도 5b 내지 도 5d는 제 2 실시예에 따른 핸드(11A)의 개략적인 측면도이다.
도 5a에 도시된 바와 같이, 열처리 공정을 거쳐 고온이 된 웨이퍼(W1)가 휘어진 상태로 핸드(11) 상에 보지되는 경우가 있을 수 있다. 또한, 도 5a에 도시된 바와 같이, 웨이퍼가 휘어진 상태가 아니더라도, 웨이퍼(W2)가 약간 비스듬한 상태로 보지되는 경우도 고려할 수 있다.
따라서, 도 5b에 도시된 바와 같이, 제 2 실시예에 따른 핸드(11A)는 각각 개구부(및 그 내부의 반사 부재)의 높이가 다른 기단측 돌기부(114LA, 114RA)를 포함한다.
그 결과, 휘어진 웨이퍼(W1) 또는 비스듬한 웨이퍼(W2)에 의해 확실하게 차단되는 광로(V)가 형성될 수 있으므로, 웨이퍼가 휘어진 웨이퍼(W1) 또는 비스듬한 웨이퍼(W2)인 경우에도, 웨이퍼의 유무를 확실히 검출하는 것이 가능하다.
도 5c에 도시된 바와 같이, 핸드(11A)는 비스듬하게 교차하는 2개의 광로(V1,V2)를 형성하도록 각각 상하에 2개의 개구부를 가진 기단측 돌기부(114LB, 114RB)를 포함할 수 있다.
그 결과, 웨이퍼(W1) 또는 웨이퍼(W2)가 광로(V1,V2)를 차단하는 확실성이 증가될 수 있으므로, 웨이퍼가 휘어진 웨이퍼(W1) 또는 비스듬한 웨이퍼(W2)인 경우에도, 웨이퍼의 유무를 확실히 검출하는 것이 가능하다.
도 5c에 나타낸 예의 경우, 핸드(11A)는 그 기단부에 광로(V1)와 광로(V2)에 각각 대응하는 투광부와 수광부 세트를 포함하는 것이 바람직하다.
또한, 도 5d에 도시된 바와 같이, 핸드(11A)는 수평 방향으로 실질적으로 평행하며 상당폭을 갖는 광로(V3)를 형성할 수 있는 기단측 돌기부(114LC, 114RC)를 포함할 수 있다. 이러한 광로(V3)는 상당량의 빛을 출력할 수 있는 투광부와 높은 반사율을 갖는 반사 부재를 조합함으로써 형성될 수 있다.
그 결과, 웨이퍼(W1) 또는 웨이퍼(W2)가 광로(V3)를 차단하는 확실성이 증가될 수 있으므로, 웨이퍼가 휘어진 웨이퍼(W1) 또는 비스듬한 웨이퍼(W2)인 경우에도, 웨이퍼의 유무를 확실히 검출하는 것이 가능하다.
도 5a 내지 도 5d에서, 제 2 실시예는 휘어진 웨이퍼(W1) 또는 비스듬한 웨이퍼(W2)를 주된 예로서 설명하였다. 그러나, 제 2 실시예가 수평 방향으로 실질적으로 평행하게 보지된 웨이퍼(W)(도 4c참조)에 대해서도 적용될 수 있음이 명백하다.
전술한 바와 같이, 제 2 실시예에 따른 핸드 및 로봇은 각각 보지 유닛, 기단부, 광 센서 및 반사 부재를 포함한다. 보지 유닛은 웨이퍼를 보지한다. 기단부에서, 그 선단부는 보지 유닛에 커플링되며, 그 말단부는 아암에 선회 가능하게 커플링된다. 광 센서는 기단부에 마련되며, 투광부와 수광부를 갖는다. 반사 부재는 보지 유닛에 마련된다. 반사 부재는, 투광부로부터의 빛을 반사하여 웨이퍼의 주면과 평행하지 않게 웨이퍼의 보지 영역을 통과시키고 수광부에 도달하도록 함으로써, 광로를 형성한다.
따라서, 제 2 실시예에 따른 핸드 및 로봇에 의하면, 기판이 매우 고온인 경우에도, 기판의 보지 상태에 상관없이 기판을 확실히 검출할 수 있다.
전술한 실시예들에서는, 기단측 돌기부에 의해서 광로가 주로 형성되는 경우에 대하여 설명했다. 그러나, 광로가 선단측 돌기부를 포함한 돌기부들에 의해 형성될 수 있다. 따라서, 이하에 설명되는 제 3 실시예에서는 그러한 경우에 대하여 도 6을 참조하여 설명하기로 한다.
(제 3 실시예)
도 6은 제 3 실시예에 따른 핸드(11B)의 개략적인 평면도이다. 도 6에 도시된 바와 같이, 제 3 실시예는 핸드(11B)가 반사 부재(미도시)를 가진 선단측 돌기부(113RA)를 포함한다는 점에서 상술한 실시예들(핸드(11) 및 핸드(11A))과 상이하다.
핸드(11B)는, 투광부(115a)로부터의 빛이 기단측 돌기부(114LD)를 거쳐서 보지 영역(H)의 중심(P)의 근방을 통과하고 선단측 돌기부(113RA)와 기단측 돌기부(114RD)를 거쳐서 수광부(115b)에 도달하도록 함으로써, 광로(V4)를 형성한다. 즉, 이러한 광로(V4)는 기단측 돌기부(114LD), 선단측 돌기부(113RA), 기단측 돌기부(114RD) 순으로 보지 영역(H)을 통과한다.
그 결과, 예를 들어, 웨이퍼(W)가 핸드(11B)의 선단측과 기단측에서 약간 다른 높이로 비스듬하게 보지되는 경우에도, 웨이퍼(W)에 의해 확실히 광로(V4)가 차단될 수 있다. 즉, 웨이퍼(W)의 유무를 확실히 검출할 수 있다.
도 6에 도시된 핸드(11B)의 기단측 돌기부(114RD)는, 반사 부재를 포함하지 않고, 빛만 통과시키도록 X-축 방향을 따라 형성된 개구부와 광로 홀만 포함하여도 된다. 이는, 도 6에 도시된 바와 같이, 기단측 돌기부(114RD)가 선단측 돌기부(113RA)와 수광부(115b)를 연결하는 직선상에 배설됨을 의미한다. 그러나, 이 부재들의 배치 관계가 이에 한정되는 것은 아니다.
따라서, 예를 들어, 기단측 돌기부(114RD)가 직선상에 배설되지 않을 수 있다. 그러한 경우, 기단측 돌기부(114RD)가 그 내부에서 빛을 반사시키도록 반사 부재(미도시)를 포함하기만 하면 된다. 여기서, 광로가 기단측 돌기부(114RD)를 통과할 필요는 없다. 즉, 투광부(115a)로부터 시작하여, 기단측 돌기부(114LD)를 거쳐서 선단측 돌기부(113RA)에 도달한 다음, 선단측 돌기부(113RA)로부터 직접 수광부(115b)에 도달하는 광로를 형성할 수 있다.
선단측의 돌기부가 선단측 돌기부(113RA)인 경우를 예로서 설명하였다. 그러나, 선단측 돌기부(113LA)가 반사 부재(미도시)를 포함하는 것으로 가정하면, 선단측 돌기부(113LA)를 거쳐서 광로(V4)와 동일한 광로가 형성될 수 있다.
전술한 바와 같이, 제 3 실시예에 따른 핸드 및 로봇은 각각 보지 유닛, 기단부, 광 센서 및 반사 부재를 포함한다. 보지 유닛은 웨이퍼를 보지한다. 기단부에서, 그 선단부는 보지 유닛에 커플링되며, 그 말단부는 아암에 선회 가능하게 커플링된다. 광 센서는 기단부에 마련되며, 투광부와 수광부를 갖는다. 반사 부재는 보지 유닛에 마련된다. 반사 부재는, 투광부로부터의 빛을 반사하여 웨이퍼의 보지 영역의 중심 근방을 통과시키고 수광부에 도달하도록 함으로써, 광로를 형성한다.
따라서, 제 3 실시예에 따른 핸드 및 로봇에 의하면, 기판이 매우 고온인 경우에도, 기판의 보지 상태에 상관없이 기판을 확실히 검출할 수 있다.
전술한 실시예들에서는 수평 방향만을 따라 광로가 형성되는 경우에 대하여 설명했다. 광로는 수평 방향 이외의 방향들의 조합을 따라 형성될 수 있다. 따라서, 이하에 설명되는 제 4 실시예에서는 그러한 경우에 대하여 도 7a 내지 도 7c를 참조하여 설명한다. 도 7a는 제 4 실시예의 개요도이다. 도 7b 및 도 7c는 제 4 실시예에 따른 핸드(11C)의 개략적인 측면도이다.
(제 4 실시예)
도 7a에 광로(V5)로서 도시된 바와 같이, 투광부(115a)로부터 투출된 빛은, 예를 들어, 도중에 연직 방향을 따라 그 방향을 변화시킨 후에, 수광부(115b)에 도달할 수 있다.
이는 다음과 같은 경우에 효과적이다. 예를 들어, 도 7b에 도시된 바와 같이, 핸드(11C)는 기단부(112)에 파지 기구(116)를 포함한다. 파지 기구(116)는 가압 유닛(116a)을 갖는다. 파지 기구(116)는 가압 유닛(116a)을 화살표(301) 방향으로 돌출시켜, 가압 유닛(116a)과 선단측 돌기부(113L)(및(113R)) 사이에 웨이퍼(W)를 끼워넣음으로써, 웨이퍼(W)를 파지한다.
이러한 파지 기구(116)는, 웨이퍼(W)의 온도가 고온 등의 비정상 온도인 경우, 웨이퍼(W)를 핸드(11C)로 확실히 파지한다는 점에서 유용하다. 여기서, 도 7b 및 도 7c에 도시된 바와 같이, 파지 기구(116)와 같은 기구가 기단부(112)에 포함되는 경우, 배설 공간의 편의상, 투광부(115a)와 수광부(115b)를 파지 기구(116)의 하단에 배설할 필요가 있다.
이 경우, 도 7b 및 도 7c에 도시된 바와 같이, 핸드(11C)는 플레이트(111)의 내부에 반사 부재(111L, 111R)를 포함할 수 있다. 또한, 핸드(11C)는 하방향으로 개구되어 플레이트(111)의 내부와 연통하는 기단측 돌기부(114LE, 114RE)를 포함함으로써, 도 7a에 도시된 광로(V5)를 형성할 수 있다.
그 결과, 투광부(115a)와 수광부(115b)의 배설 위치에 상관없이, 웨이퍼(W)의 유무를 확실히 검출할 수 있는 광로(V5)를 형성할 수 있다.
여기서, 수평 방향과 연직 방향을 조합하여 형성된 광로(V5)를 예를 들어 설명했다. 그러나, 본 실시예가 연직 방향에 한정되는 것은 아니다. 예를 들어, 방향이 비스듬한 방향일 수 있다.
전술한 바와 같이, 제 4 실시예에 따른 핸드 및 로봇은 각각 보지 유닛, 기단부, 광 센서 및 반사 부재를 포함한다. 보지 유닛은 웨이퍼를 보지한다. 기단부에서, 그 선단부는 보지 유닛에 커플링되며, 그 말단부는 아암에 선회 가능하게 커플링된다. 광 센서는 기단부에 마련되며, 투광부와 수광부를 갖는다. 반사 부재는 보지 유닛에 마련된다. 반사 부재는, 투광부로부터의 빛을 반사하여 수광부에 도달하도록 함으로써, 투광부와 수광부의 배설 위치에 따른 광로를 형성한다.
따라서, 제 4 실시예에 따른 핸드 및 로봇에 의하면, 기판이 매우 고온인 경우에도, 투광부와 수광부의 배설 위치에 상관없이 기판을 확실히 검출할 수 있다.
전술한 실시예들에서는 핸드가 매우 고온의 웨이퍼를 보지하는 경우를 예를 들어 설명했다. 그러나, 상기 실시예들이 고온이 아닌 상온의 웨이퍼를 검출하는 경우에도 적용될 수 있음이 명백하다.
또한, 전술한 실시예들에서는 웨이퍼가 보지 유닛인 플레이트의 상면에 보지되는 경우를 예시했다. 그러나, 본 실시예가 이에 한정되는 것은 아니다. 예를 들어, 웨이퍼가 플레이트의 하면에서 흡착에 의해 보지될 수 있다. 이러한 경우, 반사 부재가 플레이트의 하면에 있는 웨이퍼의 측면을 통과하는 광로를 형성하면 된다.
또한, 전술한 실시예들에서는 반사 부재가 판 형상인 경우를 예시했다. 그러나, 반사 부재의 형상이 이에 한정되는 것은 아니다. 또한, 반사 부재는 단체(單體)가 아닐 수 있다. 또한, 반사 부재는, 예를 들어, 전술한 광로 홀의 벽면과 일체화될 수 있다.
전술한 실시예들에서는 싱글 아암에 상당하는 1개의 아암의 선단부에 2개의 핸드가 마련된 경우를 예를 들어 설명했다. 그러나, 핸드의 개수가 이에 한정되는 것은 아니다. 예를 들어, 핸드의 개수는 1개이거나, 혹은 3개 또는 그 이상일 수 있다.
전술한 실시예들에서는 로봇이 싱글 아암 로봇인 경우를 예를 들어 설명했다. 그러나, 로봇은 2개 또는 그 이상의 멀티 아암 로봇일 수 있다.
전술한 실시예들에서는 워크피스가 기판이며, 이러한 기판이 주로 웨이퍼인 경우를 예를 들어 설명했다. 그러나, 상기 실시예들이 기판의 종별과 상관없이 다양한 기판에 적용될 수 있음이 명백하다. 예를 들어, 기판은 액정 패널 디스플레이의 유리 기판일 수 있다. 또한, 워크피스가 박판 형상의 워크피스이면, 워크피스는 기판이 아닐 수 있다.
또한, 전술한 실시예들에서는 로봇이 기판을 반송하는 반송 시스템에 포함된 경우를 예를 들어 설명했다. 그러나, 로봇이 아암에 마련된 핸드를 이용하여 박판 형상의 워크피스를 보지하는 로봇이면, 이러한 로봇을 포함하는 시스템의 종별은 이에 한정되지 않는다.

Claims (10)

  1. 박판 형상의 워크피스를 보지하는 보지 유닛;
    상기 보지 유닛에 선단부가 커플링되고 말단부가 아암에 선회 가능하게 커플링되는 기단부;
    상기 기단부에 마련되며, 투광부와 수광부를 포함하는 광 센서; 및
    상기 보지 유닛에 마련되며, 상기 투광부로부터의 빛을 반사하여 상기 워크피스의 보지 영역을 통과시키고 상기 수광부에 도달하게 함으로써, 광로를 형성하는 반사 부재를 포함하는
    로봇 핸드.
  2. 제 1 항에 있어서,
    상기 반사 부재는, 상기 투광부로부터의 빛이 상기 워크피스의 측면으로부터 입사되는 상기 광로를 형성하도록 마련되는
    로봇 핸드.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 반사 부재는 내열성을 가진 소재를 포함하는
    로봇 핸드.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 보지 유닛은 상기 워크피스에 접촉하여 상기 워크피스를 보지하는 복수의 돌기부를 포함하며,
    상기 돌기부 중 적어도 2개에 상기 반사 부재가 마련되는
    로봇 핸드.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 반사 부재는, 상기 워크피스의 보지 영역을 통과하는 광로가 상기 워크피스의 주면과 평행하도록 마련되는
    로봇 핸드.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 반사 부재는, 상기 워크피스의 보지 영역을 통과하는 광로가 상기 워크피스의 주면과 평행하지 않도록 마련되는
    로봇 핸드.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 반사 부재는, 상기 워크피스의 보지 영역을 통과하는 광로가 상기 워크피스를 그 상면으로부터 보았을 때 중심 근방을 통과하도록 마련되는
    로봇 핸드.
  8. 제 4 항에 있어서,
    상기 돌기부는 각각 그 내부에서 서로 연통하는 2개의 개구부를 측면에 갖고, 한 쌍의 돌기부가 상기 보지 유닛의 기단측 대칭 위치에 마련되며,
    상기 반사 부재는, 상기 광로가 상기 보지 유닛의 연장 방향과 수직이 되도록, 상기 개구부가 서로 연통하는 영역에 마련되는
    로봇 핸드.
  9. 제 4 항에 있어서,
    상기 돌기부는 상기 보지 유닛의 선단측에 마련된 적어도 하나의 돌기부와, 상기 보지 유닛의 기단측에 마련된 한 쌍의 돌기부를 포함하고,
    상기 반사 부재는, 빛이 상기 기단측의 돌기부 중 하나로부터 시작하여 상기 선단측 돌기부를 통과하여 상기 기단측 돌기부 중 다른 하나에 도달하는 방식으로 상기 광로를 형성하도록 마련되는
    로봇 핸드.
  10. 제 1 항에 따른 로봇 핸드를 포함하는
    로봇.
KR20120120313A 2012-01-26 2012-10-29 로봇 핸드 및 로봇 KR101495960B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2012-014387 2012-01-26
JP2012014387A JP5601331B2 (ja) 2012-01-26 2012-01-26 ロボットハンドおよびロボット

Publications (2)

Publication Number Publication Date
KR20130086914A true KR20130086914A (ko) 2013-08-05
KR101495960B1 KR101495960B1 (ko) 2015-02-25

Family

ID=48837521

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20120120313A KR101495960B1 (ko) 2012-01-26 2012-10-29 로봇 핸드 및 로봇

Country Status (5)

Country Link
US (1) US8820809B2 (ko)
JP (1) JP5601331B2 (ko)
KR (1) KR101495960B1 (ko)
CN (1) CN103227129B (ko)
TW (1) TW201334932A (ko)

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5750472B2 (ja) * 2013-05-22 2015-07-22 株式会社安川電機 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106292194B (zh) * 2015-05-24 2018-03-30 上海微电子装备(集团)股份有限公司 硅片传输系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI549794B (zh) * 2015-08-19 2016-09-21 精曜科技股份有限公司 機械承載臂以及晶圓的搬運方法
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106653672A (zh) * 2015-11-02 2017-05-10 沈阳芯源微电子设备有限公司 一种晶片传递装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6660157B2 (ja) * 2015-11-16 2020-03-11 川崎重工業株式会社 ロボット及びロボットによる作業方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108109951A (zh) * 2016-11-25 2018-06-01 沈阳芯源微电子设备有限公司 一种可对中的晶圆传递装置
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483138B2 (en) 2017-03-09 2019-11-19 Himax Technologies Limited Wafer clamp and a method of clamping a wafer
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
CN107706141A (zh) * 2017-09-19 2018-02-16 上海微松工业自动化有限公司 一种半导体前置模块晶圆的传输工艺
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7105629B2 (ja) * 2018-06-20 2022-07-25 東京エレクトロン株式会社 自動教示方法及び制御装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102204884B1 (ko) * 2018-09-27 2021-01-19 세메스 주식회사 기판 반송 로봇 및 기판 처리 설비
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020167947A1 (en) * 2019-02-14 2020-08-20 Persimmon Technologies Corporation Radar based position measurement for robot systems
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102612A (ko) 2019-02-21 2020-09-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
US11427412B2 (en) * 2019-05-09 2022-08-30 Kawasaki Jukogyo Kabushiki Kaisha Substrate conveying robot and substrate conveying method
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN109986594B (zh) * 2019-05-14 2021-03-12 京东方科技集团股份有限公司 一种抓取装置以及包含其的机械手臂
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
DE102019113764A1 (de) 2019-05-23 2020-11-26 Bayerische Motoren Werke Aktiengesellschaft Verfahren zur Konfiguration einer werkstückbezogenen Werkstückhalteeinrichtung für die Pressenautomatisierung
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021048322A (ja) * 2019-09-19 2021-03-25 株式会社Screenホールディングス 基板搬送装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
CN115136291A (zh) * 2020-02-17 2022-09-30 捷普有限公司 用于提供光纤耦合器的装置、系统和方法
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7470586B2 (ja) 2020-07-14 2024-04-18 東京エレクトロン株式会社 基板搬送システム及び基板搬送方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4983093A (en) * 1982-05-24 1991-01-08 Proconics International, Inc. Wafer transfer apparatus
US4682928A (en) * 1982-05-24 1987-07-28 Proconics International, Inc. Wafer transfer apparatus
JPH06345261A (ja) * 1993-04-16 1994-12-20 Daihen Corp 自動搬送装置の搬送用ハンド
US5466945A (en) * 1994-03-23 1995-11-14 Eaton Corporation Apparatus for detecting proper positioning of objects in a holder
JPH10175734A (ja) * 1996-12-18 1998-06-30 Hitachi Ltd 基板搬送機構
KR20010074695A (ko) * 1998-07-11 2001-08-09 세미툴 인코포레이티드 마이크로일렉트릭 제품 취급용 로봇
US6298280B1 (en) * 1998-09-28 2001-10-02 Asyst Technologies, Inc. Method for in-cassette wafer center determination
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6615113B2 (en) * 2001-07-13 2003-09-02 Tru-Si Technologies, Inc. Articles holders with sensors detecting a type of article held by the holder
TW558058U (en) * 2002-05-03 2003-10-11 Nanya Technology Corp Wafer carrying apparatus
JP2004119554A (ja) 2002-09-25 2004-04-15 Rorze Corp 薄板状物の把持装置及びそれを具えた製造設備
CN101383318B (zh) * 2003-06-27 2010-09-08 马特森技术公司 用于装卸半导体晶片的末端执行器
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
JP2006005318A (ja) * 2004-06-21 2006-01-05 Nikon Corp 基板搬送装置および露光装置
JP4439464B2 (ja) * 2005-12-06 2010-03-24 東京エレクトロン株式会社 基板搬送方法及び基板搬送装置

Also Published As

Publication number Publication date
US20130193703A1 (en) 2013-08-01
JP2013154406A (ja) 2013-08-15
TW201334932A (zh) 2013-09-01
CN103227129B (zh) 2015-10-14
JP5601331B2 (ja) 2014-10-08
US8820809B2 (en) 2014-09-02
KR101495960B1 (ko) 2015-02-25
CN103227129A (zh) 2013-07-31

Similar Documents

Publication Publication Date Title
KR20130086914A (ko) 로봇 핸드 및 로봇
TWI533393B (zh) 基板翻轉裝置及基板處理裝置
JP7357453B2 (ja) 基板処理システムおよび基板の搬送方法
CN109755166B (zh) 装载端口和装载端口中的映射处理方法
WO2014088078A1 (ja) 基板処理装置、基板装置の運用方法及び記憶媒体
JP2009064807A (ja) 基板位置ずれ検出システム
JP2009200063A (ja) 基板の変形検出機構,処理システム,基板の変形検出方法及び記録媒体
TWI517951B (zh) 機器人系統
KR20200039575A (ko) 기판 창고, 기판 처리 시스템 및 기판 검사 방법
TW202308024A (zh) 裝載端口
KR102468631B1 (ko) 기판 반송 장치 및 기판 반송 방법
JP5529522B2 (ja) 基板収納状態検出装置及び基板収納状態検出方法
JP2007234936A (ja) ウェハ搬送アーム及びウェハ搬送装置
KR20130022025A (ko) 기판수납용기 로더
KR101503120B1 (ko) 반송 시스템
JP4012189B2 (ja) ウエハ検出装置
WO2021131186A1 (ja) 搬送システム
JPH09272095A (ja) 板状物搬送用ロボット
TWI854030B (zh) 搬運系統
US10923377B2 (en) Load port and method of detecting abnormality in FOUP lid of load port
KR100583728B1 (ko) 웨이퍼 이송 로봇 및 이를 이용한 반도체 제조 설비
KR100987865B1 (ko) 카세트 이송용 로봇 암
JP2021128995A (ja) ロードポート、及びロードポートにおけるマッピング処理方法
JP2006005210A (ja) 半導体製造装置
JP2010287692A (ja) 基板カバー

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee