KR102429608B1 - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR102429608B1
KR102429608B1 KR1020160104004A KR20160104004A KR102429608B1 KR 102429608 B1 KR102429608 B1 KR 102429608B1 KR 1020160104004 A KR1020160104004 A KR 1020160104004A KR 20160104004 A KR20160104004 A KR 20160104004A KR 102429608 B1 KR102429608 B1 KR 102429608B1
Authority
KR
South Korea
Prior art keywords
forming
metal
trench
gate metal
gas
Prior art date
Application number
KR1020160104004A
Other languages
English (en)
Other versions
KR20180019824A (ko
Inventor
김지훈
김은태
박성훈
조윤재
박희숙
손웅희
오진호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160104004A priority Critical patent/KR102429608B1/ko
Priority to US15/634,066 priority patent/US10103152B2/en
Publication of KR20180019824A publication Critical patent/KR20180019824A/ko
Application granted granted Critical
Publication of KR102429608B1 publication Critical patent/KR102429608B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • H01L27/10823
    • H01L27/10814
    • H01L27/10855
    • H01L27/10876
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 장치 및 그 제조 방법이 제공된다. 상기 반도체 장치 제조 방법은 기판 내에 트렌치를 형성하고, 제1 가스를 이용하여 상기 트렌치의 적어도 일부를 매립하는 하부 게이트 메탈을 형성하고, 상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고, 상기 배리어 메탈 상에, 상기 제1 가스와 다른 제2 가스를 이용하여 상부 게이트 메탈을 형성하고, 상기 게이트 메탈 상에 상기 트렌치를 채우는 캡핑막을 형성하는 것을 포함한다.

Description

반도체 장치 및 그 제조 방법{Semiconductor device and method for fabricating the same}
본 발명은 반도체 장치 및 그 제조 방법에 관한 것이다.
매립 채널 어레이 트랜지스터(Buried Channel Array Transistor, BCAT)는 트렌치 내에 매립된 게이트 전극을 포함하여, DRAM 구조의 단채널 효과(short channel effect)를 극복할 수 있다.
한편, DRAM 장치가 초고도로 집적화 됨에 따라, 커패시터 내의 차징(charging)되는 전하의 양은 꾸준히 감소하였다. 이에 따라, 커패시터 내의 저장되는 전하의 양을 늘리려는 노력뿐만 아니라, 누설 전류 제어가 장치 구동 및 성능 개선에 중요한 항목이 되었다.
DRAM 셀(cell)에서 누설 전류를 유발하는 원인 중의 하나는 GIDL(Gate Induces Drain Leakage)로 불리는 게이트와 고 도핑된 BC 노드와의 게이트 오프(gate off) 상태에서의 누설 전류 일 수 있다. 따라서, 이러한 누설 전류의 제어를 통한 리프레쉬 타임(tREF)의 향상이 필요하다.
본 발명이 해결하려는 과제는, 동작 특성이 향상된 반도체 장치를 제공하는 것이다.
본 발명이 해결하려는 다른 과제는, 동작 특성이 향상된 반도체 장치 제조 방법을 제공하는 것이다.
본 발명이 해결하려는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 해결하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은, 기판 내에 트렌치를 형성하고, 제1 가스를 이용하여 상기 트렌치의 적어도 일부를 매립하는 하부 게이트 메탈을 형성하고, 상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고, 상기 배리어 메탈 상에, 상기 제1 가스와 다른 제2 가스를 이용하여 상부 게이트 메탈을 형성하고, 상기 게이트 메탈 상에 상기 트렌치를 채우는 캡핑막을 형성하는 것을 포함한다.
상기 과제를 해결하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은 액티브 영역을 정의하는 소자 분리막을 기판 내에 형성하고, 상기 액티브 영역 내에 트렌치를 형성하고, 하부 게이트 메탈, 배리어 메탈 및 상부 게이트 메탈을 포함하는 게이트 전극을 상기 트렌치의 일부를 매립하도록 형성하고, 상기 게이트 전극 상에 상기 트렌치를 채우는 캡핑막을 형성하고, 상기 트렌치의 적어도 일 측에 소스/드레인 영역을 형성하는 것을 포함하되, 상기 게이트 전극을 형성하는 것은, B2H6 가스를 이용하여 하부 게이트 메탈을 형성하고, 상기 하부 게이트 구조체 상에 배리어 메탈을 형성하고, 상기 배리어 메탈 상에 SiH4 가스를 이용하여 상부 게이트 메탈을 형성하는 것을 포함한다.
상기 다른 과제를 해결하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치는 소자 분리막과 상기 소자 분리막에 의해 정의되는 액티브 영역을 포함하는 기판, 상기 액티브 영역 내에 형성되는 트렌치; 및 상기 트렌치의 적어도 일부를 매립하는 게이트 전극으로서, 상기 게이트 전극은 상기 트렌치의 측면의 일부와 바닥면을 따라 컨포말하게 형성되는 하부 배리어 메탈과, 상기 하부 배리어 메탈 상에 형성되고, 상기 트렌치의 일부를 채우는 하부 게이트 메탈과, 상기 하부 배리어 메탈 및 상기 하부 게이트 메탈 상에 컨포말하게 형성되는 상부 배리어 메탈과, 상기 상부 배리어 메탈 상에 형성되고, 상기 트렌치의 일부를 채우는 상부 게이트 메탈을 포함하는 게이트 전극을 포함하되, 상기 하부 게이트 메탈의 보론의 농도는 상기 상부 게이트 메탈의 보론의 농도보다 높다.
도 1은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 레이 아웃도이다.
도 2는 도 1의 A - A'로 자른 단면도이다.
도 3 내지 도 23은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
이하에서, 도 1 및 도 2를 참조하여, 본 발명의 몇몇 실시예에 따른 반도체 장치에 대해서 설명한다.
도 1은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 레이 아웃도이고, 도 2는 도 1의 A - A'로 자른 단면도이다.
도 1 및 도 2를 참조하면, 본 발명의 몇몇 실시예에 따른 반도체 장치는 기판(100), 액티브 영역(AC), 소자 분리 영역(ISO), 워드 라인(WL) 및 비트 라인(BL)을 포함한다.
기판(100)은 예를 들어, 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 이와 달리, 기판(100)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 실리콘게르마늄, 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있다. 또는, 기판(100)은 베이스 기판 상에 에피층이 형성된 것일 수도 있다.
액티브 영역(AC)은 기판(100) 내에 소자 분리 영역(ISO)을 형성함으로써 정의된다. 액티브 영역(AC)은 제1 방향(DR1)을 길이 방향으로 하는 고립된 섬 형상을 가질 수 있다. 구체적으로 설명하면, 액티브 영역(AC)은 제1 방향(DR1)으로 연장되어 형성되고, 게이트 전극(즉, 워드 라인)(WL)은 제1 방향(DR1)과 제1 예각(θ1)을 이루는 제2 방향(DR2)으로 연장되어 형성되고, 비트 라인(BL)은 제1 방향(DR1)과 제2 예각(θ2)을 이루는 제3 방향(DR3)으로 연장되어 형성된다.
여기서, "특정 방향과 다른 특정 방향이 소정 각도를 이룬다"고 할 경우의 각도는, 2개의 방향들이 교차됨으로써 생기는 2개의 각도들 중 작은 각도를 의미한다. 예를 들어, 2개의 방향들이 교차됨으로써 생길 수 있는 각이 120°와, 60°일 경우, 60°를 의미한다. 따라서, 도 1에 도시된 바와 같이, 제1 방향(DR1)과 제2 방향(DR2)이 이루는 각은 θ1이고, 제1 방향(DR1)과 제3 방향(DR3)이 이루는 각은 θ2가 된다.
이와 같이, θ1 및/또는 θ2가 예각을 이루도록 하는 이유는, 액티브 영역(AC)과 비트 라인(BL)을 연결하는 비트 라인 컨택(160)과, 액티브 영역(AC)과 스토리지 노드를 연결하는 스토리지 노드 컨택(190) 사이의 간격을 최대로 확보하기 위함이다. θ1, θ2는 예를 들어, 각각 45°, 45°이거나, 30°, 60°이거나, 60°, 30°일 수 있으나, 이에 한정되는 것은 아니다.
각 액티브 영역(AC)들은 중심 부위의 상부면에 제1 컨택 영역(DC)과 양 가장자리의 상부면에 각각 제2 컨택 영역(BC)을 포함한다. 즉, 제1 컨택 영역(DC)은 비트 라인(BL)과 전기적으로 연결하기 위한 영역이 되고, 제2 컨택 영역(BC)은, 스토리지 노드와 전기적으로 연결하기 위한 영역이 된다. 상기 제2 방향으로 서로 이웃하는 각 액티브 영역(AC)은 각각 제2 컨택 영역(BC)이 서로 인접하게 배치되도록 형성될 수 있다. 각 액티브 영역(AC)들 내에서 상기 제1 컨택 영역(DC) 및 제2 컨택 영역(BC)은 서로 오버랩되는 부위를 갖지 않으며 각각 분리된 영역을 갖는다.
소자 분리 영역(ISO)에는 소자 분리막(105)이 형성될 수 있다. 소자 분리막(105)은 실리콘 산화물을 포함할 수 있다. 또는, 소자 분리막(105)은 실리콘 산화물 및 실리콘 질화물이 각각 1층 이상 적층된 구조를 가질 수 있다. 소자 분리 영역(ISO)이 형성되는 부위에 따라 상기 소자 분리 영역(ISO)의 내부폭이 달라질 수 있고, 소자 분리 영역(ISO)의 내부 폭에 따라 소자 분리 영역(ISO)의 내부에 채워지는 소자 분리막(105)의 적층 구조가 달라질 수 있다.
도 2를 참고하면, 본 발명의 몇몇 실시예에 따른 반도체 장치는 기판(100), 액티브 영역(103), 소자 분리막(105), 트렌치(110), 게이트 전극(G), 스토리지 노드 컨택(190) 등을 포함할 수 있다.
구체적으로, 기판(100)은 베이스 기판과 에피층이 적층된 구조일 수 있으나, 이에 한정되는 것은 아니다. 기판(100)은 실리콘 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등일 수도 있고, SOI(Semiconductor On Insulator) 기판일 수도 있다. 이하에서는, 예시적으로 실리콘 기판을 예로 든다.
소자 분리막(105)은 기판(100) 내에 형성되어, 액티브 영역(103)을 정의한다. 소자 분리막(105)은 소자 분리 특성이 우수하고 점유 면적이 작아 고집적화에 유리한 셸로우 트렌치 소자 분리(Shallow Trench Isolation; STI) 구조로 형성될 수 있으나, 이에 제한되는 것은 아니다. 소자 분리막(105)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
트렌치(110)는 액티브 영역(103)의 기판(100) 내에 형성된다. 트렌치(110)의 형상은 여러 가지일 수 있다. 예를 들어, 트렌치(110)는 도시된 것처럼, 바닥면과 측벽의 연결 부분이 둥근 형상일 수 있다. 또는, 트렌치(110)는 측벽이 일정한 각도를 가지고 기울어진 형상일 수도 있다.
게이트 절연막(112)은 각각 트렌치(110)의 내벽을 따라 컨포말하게(conformally) 형성된다. 게이트 절연막(112)은 예를 들어, 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함하거나, 고유전율 물질을 포함할 수 있다. 고유전율 물질은 예를 들어, 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(Aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 또는 납 아연 니오브산염(lead zinc niobate) 중에서 하나 이상을 포함하여 사용할 수 있으나, 이에 제한되지 않는다.
게이트 절연막(112)은 트렌치(110)의 측면의 전부와 바닥면을 따라 형성될 수 있다. 즉, 트렌치(110)의 내벽은 게이트 절연막(112)에 의해서 완전히 덮일 수 있다. 단, 이에 제한되는 것은 아니다.
게이트 전극(G)은 게이트 절연막(112)이 형성된 트렌치(110)의 적어도 일부를 매립하여 형성될 수 있다. 즉, 게이트 전극(G)은 리세스된 형태일 수 있다. 게이트 전극(G)의 상면은 각각 기판(100)의 상면(표면)보다 낮을 수 있다. 게이트 전극(G)은 도전성 물질, 예를 들어, 금속을 포함할 수 있다. 도 2의 게이트 전극(G)은 도 1의 워드 라인(WL)과 같은 구성 요소이지만, 편의상 다른 부호를 사용한다.
구체적으로 게이트 전극(G)은 하부 배리어 메탈(BM1), 하부 게이트 메탈(GM1), 상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2)을 포함할 수 있다.
하부 배리어 메탈(BM1)은 게이트 절연막(112) 상에 형성될 수 있다. 하부 배리어 메탈(BM1)은 게이트 절연막(112)의 상면의 일부를 따라 컨포말하게 형성될 수 있다. 하부 배리어 메탈(BM1)은 트렌치(110)의 측면의 일부 및 바닥면을 따라 형성될 수 있다. 즉, 하부 배리어 메탈(BM1)은 트렌치(110)의 바닥면을 중심으로 양 측면의 일부를 따라서 형성될 수 있다. 하부 배리어 메탈(BM1)은 TiN, TaN, Ti, Ta, Mo 및 Al 중 적어도 하나를 포함할 수 있다.
하부 게이트 메탈(GM1)은 하부 배리어 메탈(BM1) 상에 형성될 수 있다. 하부 게이트 메탈(GM1)은 트렌치(110)의 일부를 채울 수 있다. 즉, 하부 게이트 메탈(GM1)은 하부 배리어 메탈(BM1)이 형성된 부분과 대응되는 부분까지 형성될 수 있다. 따라서, 하부 게이트 메탈(GM1)의 상면과 하부 배리어 메탈(BM1)의 상면의 높이는 실질적으로 동일할 수 있다.
상부 배리어 메탈(BM2)은 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1) 상에 형성될 수 있다. 하부 배리어 메탈(BM1)은 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 상면과, 게이트 절연막(112)의 측면의 일부를 따라 컨포말하게 형성될 수 있다. 즉, 상부 배리어 메탈(BM2)은 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 상면을 중심으로 트렌치(110)의 양 측면의 일부를 따라서 형성될 수 있다.
상부 배리어 메탈(BM2)은 TiN, TaN, Ti, Ta, Mo 및 Al 중 적어도 하나를 포함할 수 있다. 상부 배리어 메탈(BM2)은 하부 배리어 메탈(BM1)과 동일한 물질을 포함할 수 있다. 상부 배리어 메탈(BM2)은 하부 배리어 메탈(BM1)과 완전히 동일한 물성을 가질 수도 있다. 이러한 경우에는 하부 배리어 메탈(BM1)과 상부 배리어 메탈(BM2)의 경계가 서로 구별되지 않을 수도 있다.
상부 게이트 메탈(GM2)은 상부 배리어 메탈(BM2) 상에 형성될 수 있다. 상부 게이트 메탈(GM2)은 트렌치(110)의 일부를 채울 수 있다. 즉, 상부 게이트 메탈(GM2)은 상부 배리어 메탈(BM2)이 형성된 부분과 대응되는 부분까지 형성될 수 있다. 따라서, 상부 게이트 메탈(GM2)의 상면과 상부 배리어 메탈(BM2)의 상면의 높이는 실질적으로 동일할 수 있다.
상부 게이트 메탈(GM2)과 하부 게이트 메탈(GM1)은 모두 텅스텐(W)을 포함할 수 있다. 단, 상부 게이트 메탈(GM2)과 하부 게이트 메탈(GM1)은 물성이 서로 다를 수 있다. 구체적으로, 상부 게이트 메탈(GM2)보다 하부 게이트 메탈(GM1)이 더 높은 보론(Boron) 함유량을 가질 수 있다. 이는, 상부 게이트 메탈(GM2) 및 하부 게이트 메탈(GM1)의 형성 공정에 기인할 수 있다.
우수한 열 안정성 및 저저항 등의 특성을 가진 텅스텐(W)을 포함하는 상부 게이트 메탈(GM2)과 하부 게이트 메탈(GM1)은 모두 화학 기상 증착(Chemical Vapor Deposition, CVD) 또는 원자층 증착(Atomic Layer Deposition, ALD)을 사용할 수 있다. 일반적으로, CVD 텅스텐 증착 공정은 전구체와 가스를 반응시켜 증착될 수 있다. 이 때, 전구체는 WF6 또는 WCl6 등 W를 포함하는 소스 가스일 수 있다.
이 때, 전구체에 가스를 반응 시켜 환원시키는 방법으로 W를 형성하는 데, 상기 가스의 종류에 따라서 형성되는 W의 물성이 달라질 수 있다. 이는 형성되는 W에 포함되는 불순물의 종류와 양이 달라지기 때문이다.
구체적으로, 하부 게이트 메탈(GM1)은 B2H6 가스와 전구체를 반응시켜 증착될 수 있다. 일반적으로 W의 증착은 초기 박막을 반응성이 높은 가스를 사용하여 형성하고, 그 후에 반응성이 낮은 가스를 사용하여 성장시키는 방식을 사용한다. 따라서, 하부 게이트 메탈(GM1)은 초기 박막 형성시에 B2H6 가스를 사용하고, 이후에 반응성이 상대적으로 약한 H2 가스를 사용하여 완성될 수 있다.
반대로, 상부 게이트 메탈(GM2)은 SiH4 가스와 전구체를 반응시켜 증착될 수 있다. 상부 게이트 메탈(GM2)도 마찬가지로 초기 박막을 반응성이 높은 가스를 사용하여 형성하고, 그 후에 반응성이 낮은 가스를 사용하여 성장시키는 방식을 사용하므로, 상부 게이트 메탈(GM2)은 초기 박막 형성시에 SiH4 가스를 사용하고, 이후에 반응성이 상대적으로 약한 H2 가스를 사용하여 완성될 수 있다.
이에 따라서, 상부 게이트 메탈(GM2)은 보론의 농도가 하부 게이트 메탈(GM1)에 비해서 낮을 수 있다. 또한, 하부 배리어 메탈(BM1)의 보론의 농도는 상부 배리어 메탈(BM2)의 보론의 농도보다 높을 수 있다. 이는 하부 게이트 메탈(GM1)에서 확산되는 보론의 양이 상부 배리어 메탈(BM2)보다 하부 배리어 메탈(BM1)에 더 많기 때문이다. 특히, 하부 배리어 메탈(BM1)의 측면과 상부 배리어 메탈(BM2)의 측면, 즉, 상부 배리어 메탈(BM2)의 바닥면을 제외한 부분, 을 비교할 경우 보론 농도의 차이가 더욱 극명할 수 있다.
상술한 제조 방법의 차이에 따라서, 상부 게이트 메탈(GM2)과 하부 게이트 메탈(GM1)은 유효 일함수(effective Work Function, eWF)가 차이날 수 있다. 즉, 상부 게이트 메탈(GM2)은 하부 게이트 메탈(GM1)에 비해서 상대적으로 낮은 유효 일함수를 가질 수 있다.
또한, 상술한 제조 방법의 차이에 따라서, 상부 게이트 메탈(GM2)과 하부 게이트 메탈(GM1)은 비저항이 차이날 수 있다. 즉, 상부 게이트 메탈(GM2)은 하부 게이트 메탈(GM1)에 비해서 상대적으로 높은 유효 일함수를 가질 수 있다.
상부 게이트 메탈(GM2)과 BC 노드, 즉, 스토리지 노드 컨택(190)과의 수직 거리(d1)는 하부 게이트 메탈(GM1)과 스토리지 노드 컨택(190)과의 수직 거리(d2)보다 작을 수 있다. 또한, 수직 거리와 마찬가지로 최단 거리도 상부 게이트 메탈(GM2)이 하부 게이트 메탈(GM1)보다 스토리지 노드 컨택(190)에 가까울 수 있다.
상술한 물성 중에 유효 일함수는 누설 전류와 관련이 있다. 즉, 높은 일함수를 가지는 경우에는 BC 노드와의 누설 전류가 증가할 위험이 있다. 따라서, 게이트 메탈 중 BC 노드와 더 가까운 상부를 낮은 유효 일함수를 가지는 물질로 형성함에 따라서, 누설 전류를 대폭 줄이고, 반도체 장치의 효율을 대폭 향상시킬 수 있다.
다만, 게이트 전극(G)은 도 1의 워드 라인(WL)이므로 전기 신호의 전달을 위해서 저항이 낮은 것이 유리할 수 있다. 따라서, 하부 게이트 메탈(GM1)은 상대적으로 낮은 저항의 물성을 가지게 하여 반도체 장치의 효율을 다른 측면에서 유지시킬 수 있다.
즉, 본 발명의 몇몇 실시예에 따른 반도체 장치는 복수의 일함수를 가지는 이중 구조의 게이트 메탈을 통해서 누설 전류 및 저항 특성이 최적화될 수 있다. 이를 통해서, 반도체 장치의 동작 성능이 대폭 향상될 수 있다.
제1 캡핑막(122)은 게이트 전극(G)이 형성된 트렌치(110)의 나머지를 매립하여 형성될 수 있다. 제1 캡핑막(122)은 예를 들어, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 중 적어도 하나를 포함할 수 있다.
제1 소스/드레인 영역(107a)은 2개의 게이트 전극(G) 사이에 형성된다. 제2 소스/드레인 영역(107b)은 게이트 전극(G) 및 소자 분리막(105) 사이에 형성된다. 즉, 액티브 영역(103) 내에 2 개의 트랜지스터가 형성될 수 있고, 이 때, 제1 소스/드레인 영역(107a)은 인접하는 두 개의 트랜지스터에 의해서 공유되고, 제2 소스/드레인 영역(107b)은 인접하는 두 개의 트랜지스터에 의해서 공유되지 않는다.
제1 소스/드레인 영역(107a) 및 제2 소스/드레인 영역(107b)은 상부에 실리사이드 영역을 포함할 수 있다. 상기 실리사이드 영역은 금속 실리사이드 화합물을 포함할 수 있다. 이를 통해서, 각각 비트 라인 컨택(160) 및 스토리지 노드 컨택(190)과의 전기적 연결 부분의 저항을 낮게 형성할 수 있다.
제1 층간 절연막(200a)은 기판(100) 상에 형성되어, 게이트 전극(G) 및 소자 분리막(105)을 덮을 수 있다. 제1 층간 절연막(200a)은 예를 들어, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 중 적어도 하나를 포함할 수 있다. 제1 층간 절연막(200a)은 단일층 또는 다층일 수 있다.
제1 층간 절연막(200a)은 제1 소스/드레인 영역(107a)를 노출시키는 제1 컨택홀(150)을 포함한다. 즉, 제1 컨택홀(150)은 제1 층간 절연막(200a)을 관통할 수 있다.
제1 컨택홀(150) 내에 비트 라인 컨택(160)이 형성될 수 있다. 비트 라인 컨택(160)은 도전 물질을 포함할 수 있고, 예를 들어, 폴리실리콘, 금속 실리사이드 화합물, 도전성 금속 질화물 및 금속 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
비트 라인 컨택(160) 상에, 비트 라인 컨택(160)과 전기적으로 연결되는 비트 라인(170)이 형성될 수 있다. 비트 라인(170)은 도전 물질을 포함할 수 있고, 예를 들어, 폴리실리콘, 금속 실리사이드 화합물, 도전성 금속 질화물 및 금속 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 도 2의 비트 라인(170)은 도 1의 비트 라인(BL)과 동일한 구성 요소이지만, 편의상 다른 부호를 사용한다.
제2 층간 절연막(200b)은 제1 층간 절연막(200a) 상에 형성되어, 비트 라인(170)을 덮을 수 있다. 제2 층간 절연막(200b)은 예를 들어, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 중 적어도 하나를 포함할 수 있다. 제2 층간 절연막(200b)은 단일층 또는 다층일 수 있다.
제2 컨택홀(180)은 제1 층간 절연막(200a) 및 제2 층간 절연막(200b)을 관통하여, 제2 소스/드레인 영역(107b)를 노출시킨다.
제2 컨택홀(180) 내에 스토리지 노드 컨택(190)이 형성될 수 있다. 스토리지 노드 컨택(190)은 제2 소스/드레인 영역(107b)과 전기적으로 연결될 수 있다. 스토리지 노드 컨택(190)은 도전 물질을 포함할 수 있고, 예를 들어, 폴리 실리콘, 금속 실리사이드 화합물, 도전성 금속 질화물 및 금속 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
스토리지 노드 컨택(190) 상에는 정보 저장 요소가 형성될 수 있다. 스토리지 노드 컨택(190) 상에 형성되는 정보 저장 요소는 예를 들어, 캐패시터일 수 있고, 스토리지 노드 컨택(190)과 접하는 스토리지 노드를 포함할 수 있다. 또는 정보 저장 요소는 가변 저항체일 수 있고, 구체적으로 상변화 물질을 포함하거나, 자기 터널 접합 패턴일 수 있다.
이하, 도 1 내지 도 23을 참조하여, 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명한다. 상술한 설명과 중복되는 부분은 간단히 하거나 생략한다.
도 3 내지 도 23은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
먼저, 도 1 및 도 3을 참조하면, 기판(100) 상에 소자 분리막(105)를 형성한다.
소자 분리막(105)을 형성하여, 도 1의 액티브 영역(AC) 및 소자 분리 영역(ISO)이 정의될 수 있다. 구체적으로, 도 1의 액티브 영역(AC)은 도 3에서 액티브 영역(103)으로 도시되고, 도 1의 소자 분리 영역(ISO)은 도 3에서 소자 분리막(105)으로 도시될 수 있다.
이어서, 도 1, 도 2 및 도 4를 참조하면, 기판(100) 상에 마스크 패턴(199)을 형성한다.
마스크 패턴(199)은 트렌치(110)가 형성될 영역을 노출한다. 마스크 패턴(199)은 산화막, 질화막, 산질화막 등일 수 있으나, 이에 한정되는 것은 아니다. 트렌치(110)가 형성될 영역은 추후에 워드 라인(WL) 또는 게이트 전극(도 2의 G)이 형성되는 액티브 영역(AC) 부분이고, 소자 분리 영역(ISO)은 아니다. 단, 이에 제한되는 것은 아니고, 소자 분리 영역(ISO)에 더미 게이트 전극이 형성되는 경우에는 소자 분리막(105)도 마스크 패턴(199)에 의해 노출될 수 있다.
이어서, 도 5를 참조하면, 마스크 패턴(199)이 형성되지 않은 부분에 트렌치(110)가 형성된다. 이 때에 소자 분리막(105) 내에 추가적으로 트렌치가 형성될 수도 있다.
트렌치(110)의 형상은 여러 가지일 수 있다. 예를 들어, 트렌치(110)는 도시된 것처럼, 바닥면과 측벽의 연결 부분이 둥근 형상일 수 있다. 또는, 트렌치(110)는 측벽이 일정한 각도를 가지고 기울어진 형상일 수도 있다.
이어서, 도 6을 참조하면, 트렌치(110)의 상면 및 마스크 패턴(199)의 상면에 절연막(112p)을 형성한다.
절연막(112p)은 트렌치(110) 내부와 마스크 패턴(199)의 상면에 컨포말하게 형성된다. 절연막(112p)은 예를 들어, 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함하거나, 고유전율 물질을 포함할 수 있다. 절연막(112p)은 추후에 게이트 절연막(112)이 될 수 있다.
이어서, 도 2 및 도 7을 참조하면, 제1 배리어 메탈막(113P)을 형성한다.
제1 배리어 메탈막(113P)은 절연막(112p) 상에 형성될 수 있다. 제1 배리어 메탈막(113P)은 절연막(112p)의 상면을 따라서 컨포말하게 형성될 수 있다. 제1 배리어 메탈막(113P)은 TiN, TaN, Ti, Ta, Mo 및 Al 중 적어도 하나를 포함할 수 있다. 제1 배리어 메탈막(113P)은 추후에 하부 배리어 메탈(BM1)이 될 수 있다.
이어서, 도 8을 참조하면, 하부 게이트 박막(120p1)을 형성한다.
하부 게이트 박막(120p1)은 제1 배리어 메탈막(113P) 상에 형성될 수 있다. 하부 게이트 박막(120p1)은 WF6 또는 WCl6와 같은 전구체(precursor)와 B2H6가 환원 반응을 통해서 형성될 수 있다. 하부 게이트 박막(120p1)은 초기 박막으로서 반응성이 높은 B2H6 가스를 사용하여 형성될 수 있다.
이어서, 도 9를 참조하면, 하부 게이트 물질막(120p2)을 형성한다.
하부 게이트 물질막(120p2)은 하부 게이트 박막(120p1)을 계속 성장시켜서 형성할 수 있다. 하부 게이트 물질막(120p2)은 트렌치(110)를 가득 채울 수 있다. 나아가, 하부 게이트 물질막(120p2)은 트렌치(110)를 너머 제1 배리어 메탈막(113P)의 상면을 덮을 수 있다.
하부 게이트 물질막(120p2)은 WF6 또는 WCl6와 같은 전구체와 H2가 환원 반응을 통해서 형성될 수 있다. H2 가스는 B2H6 가스보다 낮은 반응성을 가질 수 있다. 하부 게이트 물질막(120p2)은 추후에 하부 게이트 메탈(GM1)이 될 수 있다. 즉, 하부 게이트 물질막(120p2)은 텅스텐(W)이 포함된 도전체일 수 있다.
이어서, 도 9 및 도 10을 참조하면, 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)을 형성한다.
도 9의 제1 배리어 메탈막(113P)은 하부 배리어 메탈(BM1)로 형성되고, 도 9의 하부 게이트 물질막(120p2)은 하부 게이트 메탈(GM1)로 형성될 수 있다.
하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)은 트렌치(110)의 일부만을 채우는 리세스된 형태일 수 있다. 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 상면은 기판(100)의 상면(표면)보다 낮을 수 있다.
하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 형성은 제1 배리어 메탈막(113P) 및 하부 게이트 물질막(120p2)의 식각 공정을 통해 형성될 수 있다. 상기 식각 공정은 예를 들어, 에치백(Etch back)공정일 수 있다.
상술한 설명에서는 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 형성이 동시에 이루어진 것으로 보이지만, 이에 제한되는 것은 아니다. 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 형성은 각각의 별개의 시점에 이루어질 수도 있다.
이어서, 도 2 및 도 11을 참조하면, 제2 배리어 메탈막(114p)을 형성한다.
제2 배리어 메탈막(114p)은 절연막(112p), 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1) 상에 형성될 수 있다. 제2 배리어 메탈막(114p)은 절연막(112p), 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)의 상면을 따라 컨포말하게 형성될 수 있다. 제2 배리어 메탈막(114p)은 TiN, TaN, Ti, Ta, Mo 및 Al 중 적어도 하나를 포함할 수 있다. 제2 배리어 메탈막(114p)은 하부 배리어 메탈(BM1)과 동일한 물질을 포함할 수 있다. 제2 배리어 메탈막(114p)은 추후에 도 2의 상부 배리어 메탈(BM2)이 될 수 있다.
이어서, 도 12를 참조하면, 상부 게이트 박막(120p3)을 형성한다.
상부 게이트 박막(120p3)은 제2 배리어 메탈막(114p) 상에 형성될 수 있다. 상부 게이트 박막(120p3)은 WF6 또는 WCl6와 같은 전구체와 SiH4가 환원 반응을 통해서 형성될 수 있다. 상부 게이트 박막(120p3)은 초기 박막으로서 반응성이 높은 SiH4 가스를 사용하여 형성될 수 있다.
이어서, 도 13을 참조하면, 상부 게이트 물질막(120p4)을 형성한다.
상부 게이트 물질막(120p4)은 상부 게이트 박막(120p3)을 계속 성장시켜서 형성할 수 있다. 상부 게이트 물질막(120p4)은 트렌치(110)를 가득 채울 수 있다. 나아가, 상부 게이트 물질막(120p4)은 트렌치(110)를 너머 제2 배리어 메탈막(114p)의 상면을 덮을 수 있다.
상부 게이트 물질막(120p4)은 WF6 또는 WCl6와 같은 전구체와 H2가 환원 반응을 통해서 형성될 수 있다. H2 가스는 B2H6 가스보다 낮은 반응성을 가질 수 있다. 상부 게이트 물질막(120p4)은 추후에 상부 게이트 메탈(GM2)이 될 수 있다. 즉, 상부 게이트 물질막(120p4)은 텅스텐(W)이 포함된 도전체일 수 있다.
상부 게이트 물질막(120p4)은 하부 게이트 메탈(GM1)과 비교해서 저항이 높고, 유효 일함수가 낮을 수 있다. 또한, 보론의 함유량이 작을 수 있다.
이어서, 도 13 및 도 14를 참조하면, 하부 배리어 메탈(BM1) 및 하부 게이트 메탈(GM1)을 형성한다.
도 13의 제2 배리어 메탈막(114p)은 상부 배리어 메탈(BM2)로 형성되고, 도 13의 상부 게이트 물질막(120p4)은 상부 게이트 메탈(GM2)로 형성될 수 있다.
상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2)은 트렌치(110)의 일부만을 채우는 리세스된 형태일 수 있다. 상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2)의 상면은 기판(100)의 상면(표면)보다 낮을 수 있다.
상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2)의 형성은 제2 배리어 메탈막(114p) 및 상부 게이트 물질막(120p4)의 식각 공정을 통해 형성될 수 있다. 상기 식각 공정은 예를 들어, 에치백공정일 수 있다.
상술한 설명에서는 상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2)의 형성이 동시에 이루어진 것으로 보이지만, 이에 제한되는 것은 아니다. 상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2)의 형성은 각각의 별개의 시점에 이루어질 수도 있다.
이어서, 도 15를 참조하면, 캡핑 물질(122p)을 형성한다.
캡핑 물질(122p)은 절연막(112p), 상부 배리어 메탈(BM2) 및 상부 게이트 메탈(GM2) 상에 형성될 수 있다. 캡핑 물질(122p)은 트렌치(110)를 가득 채울 수 있다. 나아가, 캡핑 물질(122p)은 트렌치(110)를 너머 절연막(112p)의 상면을 덮을 수 있다.
캡핑 물질(122p)은 예를 들어, 산화막, 질화막, 산질화막 등일 수 있으나, 이에 한정되지 않는다.
도 16을 참조하면, 캡핑 물질(122p), 절연막(112p) 및 마스크 패턴(199)을 식각한다.
이에 따라, 기판(100)의 상면이 노출될 수 있다. 이 때, 식각의 방식은 예를 들어, 화학 기계적 연마(Chemical Mechanical Polish, CMP)일 수 있다. 따라서, 캡핑 물질(122p)은 캡핑막(122)을 이루고, 절연막(112p)은 게이트 절연막(112)을 이룰 수 있다. 게이트 절연막(112)은 각각의 트렌치(110) 내에서만 형성될 수 있다.
이 때, 캡핑막(122), 게이트 절연막(112), 액티브 영역(103) 및 소자 분리막(105)의 노출되는 상면은 모두 동일 평면상에 존재할 수 있다. 상기 "동일 평면"이란 동일한 공정을 통해서 평탄화된 평면을 의미함으로, 공정 상의 원인에 따른 미세한 단차를 포함하는 개념이다.
마스크 패턴(199)은 모두 제거될 수 있다. 마스크 패턴(199)이 제거되는 시점은 이에 제한되지 않고, 다양할 수 있다. 즉, 본 발명의 몇몇 실시예에서는 마스크 패턴(199)이 먼저 제거되고, 그 뒤에 절연막(112p) 및 게이트 전극(G) 등이 형성될 수도 있다.
이어서, 도 17을 참조하면, 액티브 영역(103)에 제1 소스/드레인 영역(107a) 및 제2 소스/드레인 영역(107b)을 형성한다.
제1 소스/드레인 영역(107a) 및 제2 소스/드레인 영역(107b)은 반도체 장치가 N형 트랜지스터인 경우에 N형 불순물로 도핑되어 형성될 수 있다. 제1 소스/드레인 영역(107a)은 기판(100) 내의, 트렌치(110)들 사이에 배치된다. 제2 소스/드레인 영역(107b)은 기판(100) 내의, 트렌치(110)들과 소자 분리막(105) 사이에 각각 배치된다. 이 때, 제1 소스/드레인 영역(107a)은 인접하는 두 개의 트랜지스터에 의해서 공유되고, 제2 소스/드레인 영역(107b)은 인접하는 두 개의 트랜지스터에 의해서 공유되지 않는다. 제1 소스/드레인 영역(107a) 및 제2 소스/드레인 영역(107b)은 도시된 것과 같이 게이트 전극(G)와 일부 오버랩되도록 형성될 수 있다.
이어서, 도 18을 참조하면, 제1 층간 절연막(200a)이 기판(100)의 상면에 형성된다.
제1 층간 절연막(200a)은 예를 들어, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 중 적어도 하나를 포함할 수 있다. 제1 층간 절연막(200a)은 단일층 또는 다층일 수 있다.
이어서, 도 1 및 도 19를 참조하면, 제1 층간 절연막(200a) 내에 제1 소스/드레인 영역(107a)을 노출시키는 제 1 컨택홀(150)을 형성한다.
제1 컨택홀(150)은 도 1의 제1 컨택 영역(DC)에 형성될 수 있다. 도 19에서, 제1 컨택홀(150)은 제1 소스/드레인 영역(107a)을 전체적으로 노출시키는 것으로 도시되었지만, 이에 제한되는 것은 아니다.
이어서, 도 20을 참조하면, 제1 컨택홀(150) 내에 비트 라인 컨택(160)이 형성한다.
비트 라인 컨택(160)은 도전 물질을 포함할 수 있고, 예를 들어, 폴리실리콘, 금속 실리사이드 화합물, 도전성 금속 질화물 및 금속 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
이어서, 도 1 및 도 21을 참조하면, 비트 라인 컨택(160) 상에, 비트 라인 컨택(160)과 전기적으로 연결되는 비트 라인(170)을 형성한다.
비트 라인(170)은 도전 물질을 포함할 수 있고, 예를 들어, 폴리실리콘, 금속 실리사이드 화합물, 도전성 금속 질화물 및 금속 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 비트 라인(170, 도 1의 BL)은 도 1의 워드 라인(WL)과 교차하는 방향으로 형성될 수 있다.
이어서, 도 22를 참조하면, 제2 층간 절연막(200b)은 제1 층간 절연막(200a) 상에 형성한다.
제2 층간 절연막(200b)은 비트 라인(170)을 덮을 수 있다. 이에 따라, 비트 라인(170)이 절연될 수 있다.
제2 층간 절연막(200b)은 예를 들어, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 중 적어도 하나를 포함할 수 있다. 제2 층간 절연막(200b)은 단일층 또는 다층일 수 있다.
이어서, 도 23을 참조하면, 제1 층간 절연막(200a)및 제2 층간 절연막(200b) 내에, 제1 층간 절연막(200a) 및 제2 층간 절연막(200b)을 관통하는 제2 컨택홀(180)을 형성한다.
제2 컨택홀(180)은 제1 층간 절연막(200a) 및 제2 층간 절연막(200b)을 관통하여, 제2 소스/드레인 영역(107b)을 노출시킨다.
이어서, 도 1 및 도 2를 참조하면, 제2 컨택홀(180)내에 스토리지 노드 컨택(190)을 형성한다.
스토리지 노드 컨택(190)은 제2 소스/드레인 영역(107b)과 전기적으로 연결될 수 있다. 스토리지 노드 컨택(190)은 도전 물질을 포함할 수 있고, 예를 들어, 폴리 실리콘, 금속 실리사이드 화합물, 도전성 금속 질화물 및 금속 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은 BC 노드와 가까운 게이트 전극(G)의 상부를 낮은 유효 일함수를 가지는 물성의 상부 게이트 메탈(GM2)로 치환하여 누설 전류를 최소화 하고, 더불어 낮은 하부 게이트 메탈(GM1)을 유지하여 낮은 저항의 워드 라인(WL)을 구현할 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
G: 게이트 전극 BM1: 하부 배리어 메탈
GM1: 하부 게이트 메탈 BM2: 상부 배리어 메탈
GM2: 상부 게이트 메탈

Claims (10)

  1. 기판 내에 트렌치를 형성하고,
    제1 가스를 이용하여 상기 트렌치의 적어도 일부를 매립하는 하부 게이트 메탈을 형성하고,
    상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고,
    상기 배리어 메탈 상에, 상기 제1 가스와 다른 제2 가스를 이용하여 상부 게이트 메탈을 형성하고,
    상기 게이트 메탈 상에 상기 트렌치를 채우는 캡핑막을 형성하는 것을 포함하고,
    상기 상부 게이트 메탈의 유효 일함수(effective work function)는 상기 하부 게이트 메탈의 유효 일함수보다 낮은 반도체 장치 제조 방법.
  2. 기판 내에 트렌치를 형성하고,
    제1 가스를 이용하여 상기 트렌치의 적어도 일부를 매립하는 하부 게이트 메탈을 형성하고,
    상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고,
    상기 배리어 메탈 상에, 상기 제1 가스와 다른 제2 가스를 이용하여 상부 게이트 메탈을 형성하고,
    상기 게이트 메탈 상에 상기 트렌치를 채우는 캡핑막을 형성하는 것을 포함하고,
    상기 하부 게이트 메탈을 형성하는 것은,
    상기 제1 가스를 이용하여 하부 게이트 박막을 형성하고,
    상기 제1 가스와 다른 제3 가스를 이용하여, 상기 하부 게이트 박막 상에 상기 하부 게이트 메탈을 성장시키는 것을 포함하는 반도체 장치 제조 방법.
  3. 제2 항에 있어서,
    상기 하부 게이트 메탈을 형성하는 것은 게이트 메탈 전구체와 상기 제1 및 제3 가스를 반응시키는 것을 포함하고,
    상기 게이트 메탈 전구체와 상기 제1 가스의 반응성은 상기 게이트 메탈 전구체와 상기 제3 가스의 반응성보다 큰 반도체 장치 제조 방법.
  4. 삭제
  5. 기판 내에 트렌치를 형성하고,
    제1 가스를 이용하여 상기 트렌치의 적어도 일부를 매립하는 하부 게이트 메탈을 형성하고,
    상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고,
    상기 배리어 메탈 상에, 상기 제1 가스와 다른 제2 가스를 이용하여 상부 게이트 메탈을 형성하고,
    상기 게이트 메탈 상에 상기 트렌치를 채우는 캡핑막을 형성하는 것을 포함하고,,
    상기 제1 가스는 B2H6를 포함하고,
    상기 제2 가스는 SiH4를 포함하는 반도체 장치 제조 방법.
  6. 기판 내에 트렌치를 형성하고,
    제1 가스를 이용하여 상기 트렌치의 적어도 일부를 매립하는 하부 게이트 메탈을 형성하고,
    상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고,
    상기 배리어 메탈 상에, 상기 제1 가스와 다른 제2 가스를 이용하여 상부 게이트 메탈을 형성하고,
    상기 게이트 메탈 상에 상기 트렌치를 채우는 캡핑막을 형성하는 것을 포함하고,,
    상기 하부 게이트 메탈을 형성하는 것은
    상기 트렌치의 바닥면 및 측면을 따라 컨포말하게 하부 배리어 메탈을 형성하고,
    상기 하부 배리어 메탈 상에 상기 하부 게이트 메탈을 형성하는 것을 포함하는 반도체 장치 제조 방법.
  7. 액티브 영역을 정의하는 소자 분리막을 기판 내에 형성하고,
    상기 액티브 영역 내에 트렌치를 형성하고,
    하부 게이트 메탈, 배리어 메탈 및 상부 게이트 메탈을 포함하는 게이트 전극을 상기 트렌치의 일부를 매립하도록 형성하고,
    상기 게이트 전극 상에 상기 트렌치를 채우는 캡핑막을 형성하고,
    상기 트렌치의 적어도 일 측에 소스/드레인 영역을 형성하는 것을 포함하되,
    상기 게이트 전극을 형성하는 것은,
    B2H6 가스를 이용하여 하부 게이트 메탈을 형성하고,
    상기 하부 게이트 메탈 상에 배리어 메탈을 형성하고,
    상기 배리어 메탈 상에 SiH4 가스를 이용하여 상부 게이트 메탈을 형성하는 것을 포함하는 반도체 장치 제조 방법.
  8. 제7 항에 있어서,
    상기 소스/드레인 영역과 전기적으로 연결되는 컨택을 더 포함하고,
    상기 컨택과 상기 하부 게이트 메탈 사이의 거리는 상기 컨택과 상기 상부 게이트 메탈 사이의 거리보다 큰 반도체 장치 제조 방법.
  9. 제7 항에 있어서,
    상기 게이트 전극을 형성하는 것은,
    상기 트렌치의 측벽의 일부와 바닥면을 따라 하부 배리어 메탈을 형성하고,
    상기 하부 배리어 메탈 상에 하부 게이트 메탈을 형성하는 것을 포함하되,
    상기 하부 배리어 메탈 및 상기 배리어 메탈은 서로 동일한 제1 물질을 포함하는 반도체 장치 제조 방법.
  10. 제7 항에 있어서,
    상기 게이트 전극을 형성하는 것은,
    상기 트렌치의 측면의 전부와 바닥면을 따라 컨포말하게 형성되는 게이트 절연막을 형성하고,
    상기 게이트 절연막 상에 상기 트렌치의 일부를 채우는 게이트 전극을 형성하는 것을 포함하는 반도체 장치 제조 방법.
KR1020160104004A 2016-08-17 2016-08-17 반도체 장치 및 그 제조 방법 KR102429608B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020160104004A KR102429608B1 (ko) 2016-08-17 2016-08-17 반도체 장치 및 그 제조 방법
US15/634,066 US10103152B2 (en) 2016-08-17 2017-06-27 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160104004A KR102429608B1 (ko) 2016-08-17 2016-08-17 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20180019824A KR20180019824A (ko) 2018-02-27
KR102429608B1 true KR102429608B1 (ko) 2022-08-04

Family

ID=61192176

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160104004A KR102429608B1 (ko) 2016-08-17 2016-08-17 반도체 장치 및 그 제조 방법

Country Status (2)

Country Link
US (1) US10103152B2 (ko)
KR (1) KR102429608B1 (ko)

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102378471B1 (ko) 2017-09-18 2022-03-25 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102528205B1 (ko) * 2018-06-26 2023-05-03 에스케이하이닉스 주식회사 매립 채널 어레이 트랜지스터를 포함하는 극저온 반도체 소자
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210126103A1 (en) * 2019-10-29 2021-04-29 Micron Technology, Inc. Apparatus comprising wordlines comprising multiple metal materials, and related methods and electronic systems
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11315930B2 (en) * 2020-02-14 2022-04-26 Nanya Technology Corporation Semiconductor structure and method of manufacturing the same
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220319991A1 (en) * 2021-03-31 2022-10-06 Nanya Technology Corporation Semiconductor device with dual barrier layers and method for fabricating the same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102562311B1 (ko) * 2021-06-30 2023-08-02 삼성전자주식회사 로우 해머 효과가 개선된 매립형 채널 어레이 트랜지스터
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040170040A1 (en) 2002-08-02 2004-09-02 Unity Semiconductor Corporation Rewritable memory with non-linear memory element
US20060115977A1 (en) 2004-11-30 2006-06-01 Kim Soo H Method for forming metal wiring in semiconductor device
US20110140068A1 (en) 2009-12-16 2011-06-16 Yoshio Ozawa Resistance-change memory cell array
US20110180868A1 (en) 2010-01-25 2011-07-28 Hynix Semiconductor Inc. Semiconductor device and method for manufacturing the same
US20120244699A1 (en) 2005-01-19 2012-09-27 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20150214313A1 (en) * 2014-01-29 2015-07-30 SK Hynix Inc. Transistor having dual work function buried gate electrode and method for fabricating the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
KR100477813B1 (ko) 1997-12-27 2005-06-17 주식회사 하이닉스반도체 반도체장치의텅스텐금속배선형성방법
KR20030059489A (ko) 2001-12-29 2003-07-10 주식회사 하이닉스반도체 금속배선 확산방지막을 구비한 반도체 소자의 제조방법
KR100972595B1 (ko) 2008-02-12 2010-07-28 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
KR101046727B1 (ko) 2009-11-30 2011-07-05 주식회사 하이닉스반도체 반도체장치의 매립게이트 제조 방법
KR101205136B1 (ko) 2010-12-17 2012-11-26 에스케이하이닉스 주식회사 반도체 소자 및 그 형성 방법
CN104766792A (zh) 2014-01-03 2015-07-08 中国科学院微电子研究所 具有改善粘附性能和填充性能的钨层沉积方法
KR20150090669A (ko) 2014-01-29 2015-08-06 에스케이하이닉스 주식회사 듀얼일함수 매립게이트형 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
KR102055333B1 (ko) 2014-01-29 2020-01-22 에스케이하이닉스 주식회사 듀얼일함수 매립게이트형 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
KR102162733B1 (ko) 2014-05-29 2020-10-07 에스케이하이닉스 주식회사 듀얼일함수 매립게이트형 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
KR102180049B1 (ko) 2014-08-21 2020-11-18 에스케이하이닉스 주식회사 패싱 게이트를 갖는 반도체 장치 및 그 제조 방법
KR102396085B1 (ko) * 2015-10-28 2022-05-12 에스케이하이닉스 주식회사 매립금속게이트구조를 구비한 반도체장치 및 그 제조 방법, 그를 구비한 메모리셀, 그를 구비한 전자장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040170040A1 (en) 2002-08-02 2004-09-02 Unity Semiconductor Corporation Rewritable memory with non-linear memory element
US20060115977A1 (en) 2004-11-30 2006-06-01 Kim Soo H Method for forming metal wiring in semiconductor device
US20120244699A1 (en) 2005-01-19 2012-09-27 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20110140068A1 (en) 2009-12-16 2011-06-16 Yoshio Ozawa Resistance-change memory cell array
US20110180868A1 (en) 2010-01-25 2011-07-28 Hynix Semiconductor Inc. Semiconductor device and method for manufacturing the same
US20150214313A1 (en) * 2014-01-29 2015-07-30 SK Hynix Inc. Transistor having dual work function buried gate electrode and method for fabricating the same

Also Published As

Publication number Publication date
KR20180019824A (ko) 2018-02-27
US20180053769A1 (en) 2018-02-22
US10103152B2 (en) 2018-10-16

Similar Documents

Publication Publication Date Title
KR102429608B1 (ko) 반도체 장치 및 그 제조 방법
US11296088B2 (en) Semiconductor device including air gaps and method for fabricating the same
US11276761B2 (en) Semiconductor device having buried gate structure, method for manufacturing the same, and memory cell having the same
US10910224B2 (en) Semiconductor device having buried gate structure and method for fabricating the same
US10461167B2 (en) Semiconductor device and method of manufacturing the same
US9748248B2 (en) Semiconductor device having buried gate structure, method for manufacturing the same, memory cell having the same, and electronic device having the same
KR102527904B1 (ko) 반도체 장치 및 그 제조 방법
US12021080B2 (en) Semiconductor device and method of manufacturing the same
KR102279732B1 (ko) 반도체 메모리 소자 및 그 제조 방법
US20140151776A1 (en) Vertical memory cell
US10170566B2 (en) Semiconductor device having air gap and method for manufacturing the same, memory cell having the same and electronic device having the same
US20220085043A1 (en) Semiconductor device, manufacturing method therefor, integrated circuit and electronic device
US9525025B2 (en) Semiconductor device having air gap and method for manufacturing the same, memory cell having the same and electronic device having the same
WO2023103182A1 (zh) 存储单元、存储器及其制作方法
TWI822847B (zh) 半導體裝置
US10109634B2 (en) Semiconductor device having air gap and method for manufacturing the same, memory cell having the same and electronic device having the same
US20230030176A1 (en) Semiconductor device
TWI818247B (zh) 積體電路裝置
US11895828B2 (en) Semiconductor memory device
US20240147692A1 (en) Semiconductor memory devices and method of manufacturing the same
KR20240028059A (ko) 반도체 장치
KR20240008191A (ko) 반도체 소자 및 반도체 소자의 제조 방법
KR20230165162A (ko) 반도체 소자

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant