KR101891458B1 - Iii-v 반도체 재료 층을 갖는 반도체 디바이스 - Google Patents

Iii-v 반도체 재료 층을 갖는 반도체 디바이스 Download PDF

Info

Publication number
KR101891458B1
KR101891458B1 KR1020177030300A KR20177030300A KR101891458B1 KR 101891458 B1 KR101891458 B1 KR 101891458B1 KR 1020177030300 A KR1020177030300 A KR 1020177030300A KR 20177030300 A KR20177030300 A KR 20177030300A KR 101891458 B1 KR101891458 B1 KR 101891458B1
Authority
KR
South Korea
Prior art keywords
source
drain regions
layer
iii
type
Prior art date
Application number
KR1020177030300A
Other languages
English (en)
Other versions
KR20170120208A (ko
Inventor
글렌 에이. 글라스
아난드 에스. 머시
타히르 가니
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20170120208A publication Critical patent/KR20170120208A/ko
Application granted granted Critical
Publication of KR101891458B1 publication Critical patent/KR101891458B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7809Vertical DMOS transistors, i.e. VDMOS transistors having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

종래의 디바이스에 비해 감소된 기생 콘택 저항을 갖는 트랜지스터 디바이스를 형성하는 기술이 개시된다. 일부 예시적 실시예에서, CMOS 디바이스의 MOS 트랜지스터의 콘택을 구현하는 기술을 사용할 수 있으며, 여기서 p-형 및 n-형 소스/드레인 영역들과 그들의 각 콘택 금속들 사이에 III-V 반도체 재료 중간층이 제공되어 콘택 저항을 현저히 감소시킨다. III-V 반도체 재료 중간층은 원하는 전도율을 제공하기 위해 작은 밴드 갭(예를 들어, 0.5 eV보다 낮은)을 가질 수 있고/있거나 도핑될 수 있다. 변형 및 비변형 채널 구조를 포함하는 다수의 트랜지스터 아키텍처(예를 들어, 평면형, 핀형 및 나노와이어 트랜지스터)에 대해 상기 기술을 사용할 수 있다.

Description

III-V 반도체 재료 층을 갖는 반도체 디바이스{SEMICONDUCTOR DEVICE HAVING III-V SEMICONDUCTOR MATERIAL LAYER}
트랜지스터, 다이오드, 레지스터, 캐패시터, 및 반도체 기판상에 형성된 그밖의 수동 및 능동 전자 디바이스를 포함하는 회로 디바이스의 성능 향상은 통상적으로, 이들 디바이스의 설계, 제조 및 동작 동안 고려되는 주요 인자이다. 예를 들어, CMOS(complementary metal oxide semiconductor)에 사용된 것과 같은, MOS(metal oxide semiconductor) 트랜지스터 반도체 디바이스의 설계 및 제조, 또는 형성 동안에는 종종, 외부 저항(Rext)으로 알려진, 콘택과 관련된 기생 저항을 최소화하는 것이 바람직하다. Rext를 감소시키면 등가 트랜지스터 설계로부터 더 높은 전류가 가능하다.
도 1a는 본 발명의 실시예에 따른, 낮은 콘택 저항을 갖는 트랜지스터 구조의 형성 방법이다.
도 1b는 본 발명의 다른 실시예에 따른, 낮은 콘택 저항을 갖는 트랜지스터 구조의 형성 방법이다.
도 2a 내지 2f는 본 발명의 실시예에 따른, 도 1a의 방법을 수행할 경우 형성된 구조를 예시한다.
도 3a 내지 3c는 본 발명의 다른 실시예에 따른, 도 1b의 방법을 수행할 경우 형성된 다른 구조를 예시한다.
도 4a-e는 각각, 본 발명의 한 실시예에 따라 구성된 비-평면 트랜지스터 아키텍처의 투시도를 도시한다.
도 5는 본 발명의 예시적 실시예에 따른 하나 이상의 트랜지스터 구조로 구현된 컴퓨팅 시스템을 예시한다.
이해되는 바와 같이, 도면은 반드시 일정한 비율로 그려지지는 않거나, 특허청구된 발명을 도시된 특정한 구성으로 한정하고자 의도되지는 않는다. 예를 들어, 일부 도면은 일반적으로 직선, 직각, 매끄러운 표면을 나타내지만, 트랜지스터 구조의 실제 구현물은 완벽한 직선 및 직각이 아닐 수 있으며, 사용된 현실적인 가공 장비 및 기술의 제한으로, 일부 특징부는 표면 토폴로지(surface topology)를 가지거나 매끄럽지 않을 수 있다. 즉, 도면은 단지 예시적 구조를 도시하기 위해 제공된다.
종래 디바이스에 비해 기생 콘택 저항이 감소된 트랜지스터 디바이스를 형성하기 위한 기술이 제공된다. 이 기술은 예를 들어, 종래의 콘택 공정이 실리콘 소스/드레인 영역 바로 위에 실리사이드를 사용하고 실리콘(Si) 또는 실리콘 게르마늄(SiGe) 또는 게르마늄(Ge) 소스/드레인 영역 상의 일련의 금속과 같은 표준 콘택 스택을 이용하는 반도체 공정 흐름의 지점에서 구현될 수 있다. 일부 예시적 실시예에서, 이 기술은 CMOS 디바이스의 MOS 트랜지스터의 콘택을 구현하기 위해 사용될 수 있으며, 여기서 p-형 및 n-형 소스/드레인 영역들 및 그들의 각 콘택 금속들 사이에 III-V 반도체 재료 중간층이 제공되어 콘택 저항이 현저하게 감소된다. III-V 반도체 재료 중간층은 원하는 전도율을 제공하기 위해 작은 밴드 갭(예를 들어, 0.5 eV보다 낮음)을 가질 수 있고/있거나, 그렇지 않으면 도핑될 수 있다. 이 기술은 변형(strained) 및 비변형(unstrained) 채널 구조를 포함하는 다수의 트랜지스터 아키텍처(예를 들어, 평면형, 핀형(finned) 및 나노와이어 트랜지스터)에 대해 사용될 수 있다.
개요
전술한 바와 같이, 트랜지스터의 구동 전류 증가는 디바이스 저항을 감소시킴으로써 달성될 수 있다. 콘택 저항은 디바이스의 전체 저항 중 한 성분이다. 통상적인 트랜지스터 콘택 스택은, 예를 들어, 실리콘 또는 SiGe 소스/드레인 층, 실리사이드/게르마나이드 층, 티타늄 질화물 접착층, 및 텅스텐 콘택/플러그를 포함한다. 니켈, 백금, 티타늄, 코발트 등과 같은 금속의 실리사이드 또는 게르마나이드는 텅스텐 플러그 퇴적 전에 소스-드레인 영역 상에 형성될 수 있다. 그러한 구성에서, 콘택 저항은 비교적 높으며, 금속의 피닝 레벨(pinning level)에 대한 실리콘 또는 SiGe 원자가 전자대(valence band) 정렬에 의해 실질적으로 제한된다. 콘택을 형성하는 통상적인 산업적 접근은 일반적으로 밴드 갭이 0.5-1.5 eV의 범위 또는 그 이상인 합금을 사용한다. 그러한 일부 접근법은 n-형 트랜지스터 구조에 적합할 수 있지만, p-형 트랜지스터 구조에는 적합하지 않다.
따라서, 그리고 본 발명의 실시예에 따라, 소스/드레인 형성 이후에 하지만 금속 콘택 퇴적 전에, III-V 반도체 재료 중간층이 퇴적된다. p-형 및 n-형 소스/드레인 영역 모두의 위에 동일한 III-V 반도체 재료 중간층이 퇴적될 수 있음을 주목한다. 일부 실시예에서, III-V 재료 층은, 예를 들어, 알루미늄(Al), 갈륨(Ga), 인듐(In), 인(P), 비소(As) 및/또는 안티몬(Sb)의 각종 조합을 포함하는, 안티몬화 인듐(InSb), 또는 밴드 갭이 0.5 eV 미만인 다른 관련 화합물과 같이, 좁은 밴드 갭을 갖도록 선택된다. 상기 작은 밴드 갭의 III-V 재료 층은, 예를 들어, p-형 및 n-형 Si, SiGe 합금 및 Ge 소스-드레인 영역과 같은 MOS 트랜지스터 소스-드레인 영역에 우수한 콘택 특성을 제공하기 위해 사용될 수 있다. 다른 실시예에서, 임의의 밴드 갭을 갖는 III-V 재료를 퇴적 및 도핑할 수 있으며, 이로써 작은 밴드 갭의 III-V 재료에 비견할 만한 수준, 또는 주어진 응용에 대해 허용가능한 전도율 수준까지 전도율을 증가시킬 수 있다.
일부 실시예에서, III-V 반도체 재료, 및 특히 약 0.5 eV 미만의 밴드 갭을 갖는 III-V 재료는 도핑되지 않고 남아 있을 수 있으며, 이는 상기 작은 밴드 갭 재료에서 캐리어의 열 발생이 실온에서 높은 전도율을 가능하게 하기에 충분하기 때문임을 주목한다. 임의의 밴드 갭을 갖는 III-V 재료를 사용한 것과 같은, 도핑이 사용된 다른 실시예에서, 도핑은 인-시튜(in-situ) 및 엑스-시튜(ex-situ) 도핑 기술 모두를 포함하는 다수의 방식으로 수행될 수 있다. 상기 일부 실시예는, 탄소, 실리콘, 게르마늄 또는 주석과 같은 IV족 도펀트로 충분히 높은 도핑 수준을 갖는 III-V 재료를 사용한다. 매우 높은 도핑 수준에서(예를 들어, 치환 농도 1E18 atoms/cm3 초과), 상기 양쪽성 도펀트는 원자가 전자대 및 전도대 모두에서 캐리어에 기여하여, 두 캐리어 종류 모두에 대한 캐리어 농도를 증가시킨다. 상기 일부 경우에, 도핑은 인-시튜로 수행된다. 다른 실시예에서, 진성 III-V 재료 층을 퇴적한 다음, 원하는 전도율(예를 들어, 100 내지 500 S/cm의 값을 갖는 전도율)을 제공하도록, 이온 주입 또는 확산 도핑과 같은 엑스-시튜 도핑 공정이 후속한다. 일부 예시적인 경우에, p-형 영역이 제1 도핑 체계를 갖고 n-형 영역이 제2 도핑 체계를 갖도록 III-V 재료 층이 도핑될 수 있다. 예를 들어, n-형 소스/드레인 영역은 예를 들어 실리콘, 게르마늄 또는 텔루륨으로 도핑될 수 있고, p-형 소스/드레인 영역은 아연 또는 카드뮴으로 도핑될 수 있다. 본 발명의 관점에서 이해되는 바와 같이, 복수의 도핑 체계를 수반하는 상기 실시예는 일반적으로 추가 패터닝 단계를 필요로 할 것이다.
평면형, 돌출형(raised) 소스/드레인, 비-평면형(예를 들어, 이중-게이트 및 트리게이트(trigate) 트랜지스터 구조와 같은 나노와이어 트랜지스터 및 핀형(finned) 트랜지스터) 뿐만 아니라, 변형 및 비변형 채널 구조를 포함하는, 임의의 수의 트랜지스터 구조 및 구성에서 콘택 저항을 향상시키기 위해 III-V 재료 층을 사용할 수 있음을 추가로 주목한다. 또한, 트랜지스터 구조는, 예를 들어, 때때로 수행되는 것과 같이 쇼트 채널 효과(short channel effect;SCE)를 향상시키면서 트랜지스터의 총 저항을 감소시키기 위해 설계된 소스 및 드레인 단부 영역을 포함할 수 있다. 본 출원에 설명된 바와 같은 III-V 반도체 재료 층과 함께 임의의 수의 구조적 특징부를 사용할 수 있다.
트랜지스터 구조는 p-형 소스/드레인 영역, n-형 소스/드레인 영역, 또는 n-형 및 p-형 소스/드레인 영역 모두를 포함할 수 있다. 일부 예시적 실시예에서, 트랜지스터 구조는 MOS 구조에 실리콘, SiGe 합금, 또는 명목상 순수 게르마늄 필름(예를 들어, 10% 미만의 실리콘을 갖는 것)의 도펀트-주입 소스/드레인 영역 또는 에피택셜(또는 다결정) 대체 소스/드레인 영역을 포함한다. 상기 임의의 구현물에서, 본 발명의 실시예에 따라, III-V 반도체 재료의 층 또는 캡(cap)은 소스/드레인 영역 바로 위에 형성될 수 있다. III-V 재료 층은 또한, 바람직할 경우, 폴리 게이트 및/또는 접지 탭(tap) 영역, 또는 낮은 콘택 저항이 원하는 영역과 같은, 트랜지스터 구조의 다른 부분 바로 위에도 형성될 수 있다.
분석(예를 들어, 주사 전자 현미경 및/또는 조성 매핑(mapping))시, 본 발명의 조성의 실시예에 따라 구성된 구조는, 예를 들어 Al, Ga, In, P, As 및/또는 Sb의 조합을 포함하는(적용가능할 경우, 허용가능한 수준까지 전도율을 증가시키는 임의의 도펀트와 함께) III-V 반도체 재료의 추가 층을 실질적으로 도시할 것이며, 종래의 실리사이드 및 게르마나이드 콘택 공정을 이용하여 제조된 디바이스의 콘택 저항보다 더 낮은 콘택 저항을 나타낼 것이다. 이해되는 바와 같이, 고성능 콘택에 대한 요구를 갖는 임의의 수의 반도체 디바이스 또는 회로는 본 출원에 제공된 저 저항 콘택 기술로부터 이득을 얻을 수 있다.
따라서, 본 발명의 실시예에 따라 구성된 트랜지스터 구조는 종래의 구조에 비해 더 낮은 콘택 저항에 관련하여 개선을 제공한다. 본 발명의 관점에서 다수의 공정 변형이 명백할 것이다. 예를 들어, III-V 반도체 재료는 절연체 층이 소스/드레인 층 위에 퇴적되기 전에 소스-드레인 영역 상에 퇴적될 수 있다. 대안적으로, III-V 반도체 재료는 절연체 층이 소스/드레인 층 영역 위에 퇴적되고 콘택 트랜치가 소스/드레인 층까지 식각된 후에 소스-드레인 영역 상에 퇴적될 수 있다.
방법론 및 아키텍처
도 1a는 본 발명의 실시예에 따라 낮은 콘택 저항을 갖는 트랜지스터 구조를 형성하는 방법이다. 도 2a 내지 2f는 상기 방법이 수행됨에 따라, 그리고 일부 실시예에 따라 형성된 예시적 구조를 예시한다.
예시적 방법은 MOS 디바이스가 위에 형성될 수 있는 반도체 기판상에 하나 이상의 게이트 스택을 형성하는 단계(102)를 포함한다. MOS 디바이스는 NMOS 또는 PMOS 트랜지스터, 또는 NMOS 및 PMOS 트랜지스터 모두(예를 들어, CMOS 디바이스용)를 포함할 수 있다. 도 2a는 예시의 결과적인 구조를 도시하며, 이 경우에 이는 동일한 기판(300) 상에 형성되며 얕은 트랜치 분리(shallow trench isolation;STI)에 의해 분리된 NMOS 및 PMOS 트랜지스터 모두를 포함한다. p-형 및 n-형 영역 사이에 다른 적절한 형태의 분리도 또한 사용될 수 있다. 볼 수 있는 바와 같이, 각 게이트 스택은 트랜지스터의 채널 영역 위에 형성되며 게이트 유전체 층(302), 게이트 전극(304), 선택적 하드마스크(306)를 포함하며, 스페이서(310)는 게이트 스택에 인접하여 형성된다.
게이트 유전체(302)는, 예를 들어, 실리콘 이산화물(SiO2) 또는 고-유전율(high-k) 게이트 유전체 재료와 같은 임의의 적절한 산화물일 수 있다. 고-유전율 게이트 유전체 재료의 예는, 예를 들어, 하프늄 산화물, 하프늄 실리콘 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈륨 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈륨 산화물, 및 납 아연 니오베이트를 포함한다. 일부 실시예에서, 고-유전율 재료가 사용된 경우 품질을 향상시키기 위해 게이트 유전체 층(302)상에 어닐링 공정을 수행할 수 있다. 일부 특정한 예시적 실시예에서, 고-유전율 게이트 유전체 층(302)은 두께가 5 Å 내지 약 100 Å 범위(예를 들어, 10 Å)일 수 있다. 다른 실시예에서, 게이트 유전체 층(302)은 산화물 재료의 한 단분자 층(monolayer)의 두께를 가질 수 있다. 일반적으로, 게이트 유전체(302)의 두께는 소스 및 드레인 콘택으로부터 게이트 전극(304)을 전기적으로 분리하기에 충분해야 한다. 일부 실시예에서, 고-유전율 재료의 품질을 향상시키기 위해 고-유전율 게이트 유전체 층(302)상에 어닐링 공정과 같은 추가 공정을 수행할 수 있다.
게이트 전극(304)은, 비록 다른 적절한 게이트 전극 재료도 또한 사용될 수 있기는 하지만, 예를 들어 폴리실리콘, 실리콘 질화물, 실리콘 탄화물 또는 금속 층(예를 들어, 텅스텐, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물)일 수 있다. 게이트 전극(304) 재료는, 대체 금속 게이트(replacement metal gate;RMG) 공정을 위해 이후에 제거되는 희생 재료일 수 있으며, 일부 예시적 실시예에서 두께가 10 Å 내지 500 Å의 범위(예를 들어, 100 Å)를 갖는다.
선택적 게이트 하드 마스크 층(306)은 이후의 식각 및/또는 이온주입 공정으로부터 게이트 전극(304)을 보호하는 것과 같은, 공정 동안의 특정 장점 또는 용도를 제공하기 위해 사용될 수 있다. 하드 마스크 층(306)은 실리콘 이산화물, 실리콘 질화물 및/또는 다른 종래의 절연체 재료와 같은 통상적인 하드 마스크 재료를 이용하여 형성될 수 있다.
게이트 스택은 종래에 수행되는 바와 같이 또는 임의의 적절한 관습적 기술(예를 들어, 도 2a에 도시된 바와 같은, 게이트 전극 및 게이트 유전체 층의 일부를 식각해 내어 게이트 스택을 형성하는 종래의 패터닝 공정)을 이용하여 형성될 수 있다. 게이트 유전체(302) 및 게이트 전극(304) 재료는 각각, 예를 들어 CVD(chemical vapor deposition), ALD(atomic layer deposition), SOD(spin-on deposition) 또는 PVD(physical vapor deposition)과 같은 종래의 퇴적 공정을 이용하여 형성될 수 있다. 다른 퇴적 기술도 또한 사용될 수 있으며, 예를 들어 게이트 유전체(302) 및 게이트 전극(304) 재료를 열 성장시킬 수 있다. 본 발명의 관점에서 이해되는 바와 같이, 본 출원에 설명된 낮은 콘택 저항 트랜지스터 디바이스 또는 구조를 제공하도록, 임의의 수의 다른 적절한 재료, 기하학적 구조 및 형성 공정을 이용하여 본 발명의 실시예를 구현할 수 있다.
스페이서(310)는, 예를 들어 실리콘 산화물, 실리콘 질화물 또는 다른 적절한 스페이서 재료와 같은 종래의 재료를 이용하여 형성될 수 있다. 스페이서(310)의 폭은 형성되는 트랜지스터에 대한 설계 요건을 기초로 일반적으로 선택될 수 있다. 하지만, 일부 실시예에 따라, 소스/드레인 단부 영역의 붕소 도핑 게르마늄 함량이 충분히 높을 경우, 스페이서(310)의 폭에는 소스 및 드레인 에피-단부의 형성에 의해 부과된 설계 제한이 적용되지 않는다.
이후의 게이트 패터닝 공정 전에 핀(fins) 또는 나노와이어가 위에 형성된 기판을 포함하여, 벌크 기판, 반도체-온-절연체 기판(semiconductors-on-insulator;XOI, 여기서 X는 실리콘, 게르마늄, 또는 게르마늄-풍부 실리콘과 같은 반도체 재료임), 및 다중 층 구조를 포함하는 임의의 수의 적절한 기판을 사용하여 기판(300)을 구현할 수 있다. 일부 특정 예시적 경우에, 기판(300)은 게르마늄 또는 실리콘 또는 SiGe 벌크 기판, 또는 산화물 기판상의 게르마늄 또는 실리콘 또는 SiGe이다. 비록 기판(300)이 형성될 수 있는 재료의 몇 가지 예가 여기서 설명되지만, 낮은 콘택 저항 반도체 디바이스가 위에 구축될 수 있는 토대로서 작용할 수 있는 임의의 재료가 특허청구된 발명의 사상 및 범위 내에 속한다.
도 1a를 추가로 참조하여, 하나 이상의 게이트 스택이 형성된 후에, 방법은 계속해서 트랜지스터 구조의 소스/드레인 영역을 정의한다(104). 소스/드레인 영역은 임의의 수의 적절한 공정 및 구성으로 구현될 수 있다. 예를 들어, 소스/드레인 영역은 이온주입되고, 식각되고 에피-충전된, 돌출된, 실리콘 또는 게르마늄 또는 SiGe 합금, p-형 및/또는 n-형일 수 있으며, 평면형 또는 핀형 또는 와이어형 확산 영역을 가질 수 있다. 예를 들어, 상기 일부 예시적 경우에, 소스 및 드레인 영역은 이온주입/확산 공정 또는 식각/퇴적 공정 중 하나를 이용하여 형성될 수 있다. 전자의 공정에서, 붕소, 알루미늄, 안티몬, 인 또는 비소와 같은 도펀트를 기판(300)에 이온주입하여 소스 및 드레인 영역을 형성할 수 있다. 이온주입 공정에는 통상적으로, 도펀트를 활성화하고 이들을 또한 기판(300)에 추가로 확산시키도록 유발할 수 있는 어닐링 공정이 후속한다. 후자의 공정에서, 기판(300)을 먼저 식각하여 소스 및 드레인 영역의 위치에 오목부(recess)를 형성할 수 있다. 이어서, 에피택셜 퇴적 공정을 수행하여 실리콘 게르마늄 또는 실리콘 탄화물과 같은 실리콘 합금으로 오목부를 충전할 수 있고, 이로써 소스 및 드레인 영역을 형성할 수 있다. 일부 구현에서, 에피택셜 퇴적된 실리콘 합금을 붕소, 비소 또는 인과 같은 도펀트로 인-시튜 또는 엑스-시튜 도핑할 수 있다.
도 2a-2f에 도시된 예시적 실시예에서, 캐비티 뿐만 아니라, 게이트 유전체(302)를 언더컷(undercut)하는 각 단부 영역을 제공하도록 기판(300)을 식각하였다. 캐비티 및 단부 영역을 충전하여 소스/드레인 영역 및 선택적 단부 영역을 제공하였다. 기판(300)이 실리콘 벌크 또는 실리콘-온-절연체(SOI) 기판인 일부 특정 예시적 실시예에 따라, 그들의 각 단부 영역과 함께 소스 및 드레인 캐비티를 인-시튜 도핑된 실리콘 또는 SiGe 또는 게르마늄으로 충전하여 소스 및 드레인 영역(그들의 각 에피-단부와 함께)을 형성한다. 여기서, 재료(예를 들어, 도핑 또는 비도핑 Si, Ge, SiGe), 도펀트(예를 들어, 붕소, 비소 또는 인) 및 기하학적 구조(예를 들어, 소스/드레인 층의 두께는 동일 평면이거나 돌출된 소스/드레인 영역을 제공하도록, 예를 들어 50 내지 500 nm의 범위일 수 있음)와 관련하여 임의의 수의 소스/드레인 층 구성을 사용할 수 있다.
본 발명의 관점에서 이해되는 바와 같이, 본 발명의 실시예를 이용하여 임의의 수의 다른 트랜지스터 특징부를 구현할 수 있다. 예를 들어, 채널은 변형되거나 변형되지 않을 수 있으며, 소스/드레인 영역은 상응하는 소스/드레인 영역과 채널 영역 사이의 영역에 형성된 단부 영역을 포함할 수 있거나 포함하지 않을 수 있다. 이런 의미에서, 트랜지스터 구조가 변형 또는 비변형 채널을 갖는지 여부, 또는 소스-드레인 단부 영역을 갖는지 또는 소스-드레인 단부 영역을 갖지 않는지 여부는 본 발명의 각종 실시예와 특별히 관련 없으며, 상기 실시예가 임의의 특정한 상기 구조적 특징으로 한정되는 것을 의도하지 않는다. 오히려, 임의의 수의 트랜지스터 구조 및 종류, 및 특히 n-형 및 p-형 소스/드레인 트랜지스터 영역 모두를 갖는 이들 구조가, 본 출원에 설명된 바와 같이 소스/드레인 영역 위에 작은 밴드 갭의 및/또는 그렇지 않으면 충분히 도핑된 III-V 재료 층을 사용함으로써 이득을 취할 수 있다. 일반적으로, 밴드 갭이 충분히 작을 경우, 실온에서 도펀트가 필요하지 않다(비록 바람직할 경우 도펀트를 사용할 수 있기는 하지만). 한 특정한 예시적 경우에, InSb는 도핑되지 않은 p-형 및 n-형 소스/드레인 영역 모두에 제공된다. 더 큰 밴드 갭의 III-V 재료(>0.5 eV)에 있어서, 원하는 전도율을 제공하기 위해 도핑을 사용할 수 있다.
도 1a를 추가로 참고하여, 소스/드레인 영역이 정의된 후, 이 예시적 실시예의 방법은 계속하여 절연체 층(322)을 퇴적한다(106). 도 2b는 절연체 층(322)이 게이트 스택의 하드 마스크(306)와 동일 높이인 것으로 도시하지만, 그럴 필요는 없다. 절연체는 다수의 방식으로 구성될 수 있다. 일부 실시예에서, 절연체 층(322)은 SiO2 또는 다른 저-유전율 절연체 재료로 구현된다. 더 일반적인 의미에서, 층(322) 재료의 유전율은 원하는 대로 선택될 수 있다. 일부 실시예에서, 절연체 층(322)은 라이너(예를 들어, 실리콘 질화물)에 후속하여, SiO2, 또는 질화물, 산화물, 산질화물, 탄화물, 옥시탄화물(oxycarbide), 또는 다른 적절한 절연체 재료의 임의의 조합의 하나 이상의 층을 포함할 수 있다. 층간 유전체(interlayer dielectric;ILD)로 지칭될 수 있는 절연체 층(322)은 통상적으로 수행되는 바와 같이(예를 들어, CMP(chemical mechanical planarization)와 같은 후-퇴적 평탄화에 의해) 평탄화될 수 있다. 층(322)을 형성하기 위해 사용될 수 있는 다른 예시적 절연체 재료는, 예를 들어 탄소 도핑 산화물(carbon doped oxide;CDO), 퍼플루오로시클로부탄 또는 폴리테트라플루오로에틸렌과 같은 유기 고분자, 플루오로실리케이트 유리(fluorosilicate glass;FSG), 및 실세스퀴옥산, 실록산 또는 유기실리케이트 유리와 같은 유기실리케이트를 포함한다. 일부 예시적 구성에서, 절연체 층(322)은 유전율을 더 감소시키기 위해 기공(pores) 또는 다른 보이드(voids)를 포함할 수 있다.
본 발명의 관점에서 이해되는 바와 같이, 그리고 대체 금속 게이트(RMG) 공정이 사용된 본 발명의 일부 실시예에 따라, 방법은 종래에 수행되는 바와 같이 식각 공정을 이용하여 게이트 스택(고-유전율 게이트 유전체 층(302), 희생 게이트 전극(304), 및 하드 마스크 층(306)을 포함함)을 제거하는 단계를 추가로 포함할 수 있다. 상기 일부 경우에, 희생 게이트(304) 및 하드 마스크 층(306) 만이 제거된다. 게이트 유전체 층(302)이 제거된 경우, 방법은 계속해서 트랜치 개구부에 새로운 게이트 유전체 층을 퇴적할 수 있다. 하프늄 산화물과 같은, 전술된 바와 같은 임의의 적절한 게이트 유전체 재료를 여기서 사용할 수 있다. 동일한 퇴적 공정도 또한 사용할 수 있다. 예를 들어, 건식 및 습식 식각 공정의 적용 동안 원래의 게이트 유전체 층에 발생할 수 있는 임의의 손상을 다루기 위해, 및/또는 저-유전율 또는 희생 유전체 재료를 고-유전율 또는 다른 원하는 게이트 유전체 재료로 대체하기 위해 게이트 유전체 층의 대체물을 사용할 수 있다. 상기 RMG 공정에서, 방법은 트랜치 내에 및 게이트 유전체 층 위에 게이트 전극 층을 퇴적하는 단계를 추가로 포함할 수 있다. 대체 게이트 전극을 형성하기 위해 CVD, ALD 및 PVD와 같은 종래의 퇴적 공정을 사용할 수 있다. 게이트 전극 층은, 예를 들어, 루테늄, 팔라듐, 백금, 코발트, 니켈, 및 예를 들어 루테늄 산화물인 전도성 금속 산화물과 같은, p-형 일함수 금속을 포함할 수 있다. 일부 예시적 구성에서, 2개 이상의 금속 게이트 전극 층을 퇴적할 수 있다. 예를 들어, 게이트 트랜치에 일함수 금속을 퇴적한 다음, 알루미늄 또는 은과 같은 적절한 금속 게이트 전극 충전 금속을 퇴적할 수 있다. 도 2b'는 상기 선택적 RMG 공정으로부터 결과적인 예시적 게이트 구조를 도시하며, 이는 대체 게이트 유전체 층(324) 위에 대체 게이트 전극 층(326)을 포함한다. 또 다른 실시예에서, 상기 RMG 공정은, 대체 게이트 재료에 단계 108 내지 114와 관련된 공정이 수행되지 않도록, 방법의 후반에(예를 들어, 단계 114 이후에) 수행될 수 있다.
도 1a를 추가로 참조하여, 절연체 층(322)이 제공된(및 임의의 원하는 예비-콘택 형성 RMG 공정) 이후에, 방법은 계속해서 식각하여 소스/드레인 콘택 트랜치를 형성한다(108). 임의의 적절한 건식 및/또는 습식 식각 공정을 사용할 수 있다. 도 2c는 한 예시적 실시예에 따른, 식각이 완료된 후의 소스/드레인 콘택 트랜치를 도시한다.
방법은 계속해서 트랜지스터 구조의 소스/드레인 영역 상에 III-V 반도체 재료 층을 퇴적한다(110). 도 2d는 한 예시적 실시예에 따른, n-형 및 p-형 소스/드레인 영역 모두 위의 III-V 재료 층(317)을 도시한다. 상기 퇴적은 비-선택적으로 수행될 수 있으며, 여기서 임의의 과량의 III-V 퇴적물은 이후에 절연체(322)(및 필요할 경우 게이트 스택)의 상부로부터 제거된다. 다른 실시예에서, 퇴적은 선택적으로 수행될 수 있으며, 여기서 III-V 재료 퇴적물은 소스/드레인 영역(또는 그의 하위 세트(sub-set))에만 존재한다. 예를 들어, 일부 예시적 실시예에서, 공정이, p-형 영역 또는 n-형 영역을 마스킹한 다음 선택적으로 퇴적하여 한 영역 또는 다른 영역에서 고유하게 퇴적을 달성(예를 들어, 여기서 p-형 영역은 제1 도핑 체계를 갖는 III-V 재료 화합물을 수용하고, n-형 영역은 제2 도핑 체계를 갖는 III-V 재료 화합물을 수용함)하는 단계를 포함한다는 점에서 퇴적(110)은 선택적이다. 대안적으로, 퇴적(110)은, 모든 소스/드레인 영역 상에서 비도핑 III-V 재료의 단일 조성물로 이루어질 수 있으며, 이후의 마스킹 및 도핑이 후속하여, 아래의 소스/드레인 재료의 도핑 종류에 대한 콘택 저항을 추가로 최적화할 수 있다. 대안적으로, 퇴적(110)은, 모든 소스/드레인 영역 상에서 비도핑 III-V 재료의 단일 조성물로 이루어질 수 있으며, 여기서 비도핑 III-V 재료는 0.5 eV 미만의 밴드 갭을 갖는다(예를 들어, InxGa1 - xAs의 밴드 갭=0.427 eV, 여기서 x=0.9임). 상기 일부 작은 밴드 갭의 경우에, 밴드 갭은 0.4 eV 미만이다(예를 들어, InAs의 밴드 갭=0.36 eV). 또 다른 상기 경우에, 밴드 갭은 0.3 eV 미만이다. 또 다른 상기 경우에, 밴드 갭은 0.2 eV 미만이다(예를 들어, InSb의 밴드 갭=0.17 eV). 또 다른 상기 경우에, 밴드 갭은, 예를 들어 0.1 eV와 0.4 eV 사이, 또는 0.1 eV와 0.25 eV 사이, 또는 0.25 eV와 0.5 eV 사이, 또는 0.15 eV와 0.35 eV 사이와 같은 범위 이내이다. 하지만, III-V 재료는 0.5 eV 미만의 밴드 갭을 갖는 것으로 제한될 필요가 없음을 주목한다. 이는, III-V 재료가 아래의 소스/드레인 재료의 도핑 종류에 맞춰지도록, 예를 들어 인-시튜 도핑, 확산 도핑, 또는 이온주입 도핑으로 퇴적될 수 있기 때문이다.
일부 예시적 실시예에서, III-V 재료 층(317)은 에피택셜 퇴적된다. 본 발명의 관점에서 명백한 바와 같이, III-V 재료 층(317)의 두께는, 비록 다른 실시예는 다른 층 두께를 가질 수 있기는 하지만, 일부 특정 예시적 실시예에 따라, 예를 들어 50 내지 250 Å 범위일 수 있다. 일부 실시예에서, III-V 재료 층(317)의 퇴적(110) 또는 형성을 위해 CVD 공정 또는 다른 적절한 퇴적 기술을 사용할 수 있다. 예를 들어, 퇴적(110)은, Al, Ga, In, P, As, Sb 및/또는 그의 전구체의 조합과 같은 III-V 재료 화합물을 이용하여 CVD 또는 RT-CVD(rapid thermal CVD) 또는 LP-CVD(low pressure CVD) 또는 UHV-CVD(ultra-high vaccum CVD) 또는 GS-MBE(gas source molecular beam epitaxy) 장치에서 수행될 수 있다. 하나의 특정한 상기 예시적 실시예에서, III-V 재료 층(317)은 비도핑 안티몬화 인듐(InSb)으로 구현된다. 다른 실시예에서, III-V 재료 층(317)은 1E19 atom/cm3 이상의 Ge 치환 농도를 제공하도록 Ge로 도핑된 GaAs로 구현되며, 이는 약 5E-3 Ohm-cm의 저항률(또는 약 200 Mho/cm의 상응하는 전도율)을 초래한다. 임의의 상기 실시예에서, 예를 들어 수소, 질소 또는 비활성 기체(noble gas)와 같은 캐리어 기체가 존재할 수 있다(예를 들어, 전구체는 나머지가 캐리어 기체인 1-20% 농도로 희석된다). 일부 예시적 경우에, 아르신(arsine) 또는 TBA와 같은 아르신 전구체, TMG와 같은 갈륨 전구체, 및/또는 TMI와 같은 인듐 전구체가 존재할 수 있다. 예를 들어, 염화 수소(HCl), 염소(Cl) 또는 브롬화 수소(HBr)와 같은 할로겐계 기체와 같은 식각제 기체도 또한 존재할 수 있다. 예를 들어 300 ℃ 내지 700 ℃의 범위(예를 들어, 400-500 ℃)의 퇴적 온도 및 예를 들어 1 Torr 내지 760 Torr의 범위의 반응기 압력을 이용한 광범위한 조건에 걸쳐 III-V 반도체 재료 층(317)의 기본 퇴적이 가능하다. 캐리어 및 식각제는 각각 10과 300 SCCM 범위의 유량(flow)을 가질 수 있다(통상적으로, 100 SCCM 이하의 유량이 요구되지만, 일부 실시예는 더 높은 유속(flow rate)이 유리할 수 있다). 한 특정한 예시적 실시예에서, 퇴적(110)은 100과 1000 SCCM 사이의 범위인 유속으로 수행된다. 게르마늄의 인-시튜 도핑을 위해, 예를 들어 희석 게르만(germane) 또는 다이게르만(digermane)을 사용할 수 있다(예를 들어, 게르만은 10% 농도 및 10과 100 sccm 사이의 범위인 유속으로 H2에 희석될 수 있음).
본 발명의 관점에서 이해되는 바와 같이, III-V 재료 층(317)이 퇴적되는 선택도(selectivity)는 원하는 대로 변경될 수 있다. 일부 경우에, 예를 들어, III-V 재료 층(317)은 소스/드레인 영역 상에만 또는 소스/드레인 영역의 일부(전체 구조에 걸쳐서가 아니라) 상에만 퇴적된다. 하위 세트 영역을 추가로 정의하는 임의의 수의 마스킹/패터닝 기술을 사용하여 층(317)을 선택적으로 퇴적할 수 있다. 또한, 예를 들어 층(317)이, 노출된 폴리 게이트 영역 또는 노출된 접지 탭 영역을 덮는 다른 실시예가 유리할 수 있다. 본 발명의 관점에서 추가로 이해되는 바와 같이, 일부 예시적 실시예에 따라, 소스 및 드레인 영역(및 접지 탭 영역과 같이 낮은 콘택 저항이 원하는 다른 영역)에서 상당히 더 낮은 콘택 저항을 실현하기 위해 III-V 재료 층(317)을 사용할 수 있다.
이어서, 방법은 계속해서 콘택 저항 감소 금속을 퇴적하고 어닐링한(112) 다음, 소스/드레인 콘택 플러그를 퇴적한다(114). 그러한 실시예에서 실리사이드 또는 게르마나이드가 존재하지 않음을 주목한다. 오히려, III-V 재료(317)와 금속성 콘택 저항 감소 층(325) 사이에 임의의 반응이 존재한다. 도 2e는 콘택 저항 감소 금속(325)을 도시하며, 이는 일부 실시예에서 은, 니켈, 알루미늄, 티타늄, 금, 금-게르마늄, 니켈-백금 또는 니켈-알루미늄 및/또는 다른 그러한 저항 감소 금속 또는 합금을 포함한다. 다른 실시예는 바람직할 경우 층(317)과 층(325) 사이의 접착층과 같은 추가 층들을 추가로 포함할 수 있다. 도 2f는 콘택 플러그 금속(329)을 도시하며, 이는, 비록 종래의 퇴적 공정을 이용하여 은, 니켈-백금 또는 니켈-알루미늄 또는 니켈 및 알루미늄의 다른 합금, 또는 티타늄과 같은 임의의 적절한 전도성 콘택 금속 또는 합금이 사용될 수 있기는 하지만, 일부 실시예에서 알루미늄 또는 텅스텐을 포함한다. 일부 예시적 경우에, 소스/드레인 영역과 콘택 저항 감소 금속(325) 사이의 계면에 III-V 재료 층(317)으로 구성된 소스/드레인을 갖는 트랜지스터는 100 Ohm-㎛ 미만의 저항률 값을 나타낼 수 있으며, 일부 경우에, 90 Ohm-㎛ 미만, 및 일부 경우에 80 Ohm-㎛ 미만, 및 일부 경우에 75 Ohm-㎛ 미만, 또는 그 이하의 저항률 값을 나타낼 수 있다.
도 1b는 본 발명의 다른 실시예에 따른, 낮은 콘택 저항을 갖는 트랜지스터 구조를 형성하는 방법이다. 도 3a 내지 3c는 형성된 다른 예시적 구조를 예시한다. 일반적으로, 상기 방법은, 소스/드레인 영역 상의 III-V 재료 층(317)의 퇴적이 절연체(322) 퇴적 전에 수행되는 점을 제외하고는, 도 1a 및 2a-f를 참조로 설명된 방법과 유사하다. 이는 게르마늄 재료 퇴적 단계(110)를, 소스/드레인 정의 단계(104) 이후 및 절연체 퇴적 단계(106) 이전이 되도록 이동시킴으로써 도 1b에 실질적으로 도시된다. 절연체 퇴적 단계(106) 이후의 상기 결과적인 구조가 도 3a에 도시된다. 상기 예시적 실시예에서 III-V 재료 층(317)이 콘택 트랜치에 의해 노출된 부분뿐만 아니라 도시된 각 소스/드레인 영역을 어떻게 전체적으로 덮는지를 주목한다(도 2d에 최적으로 도시된 바와 같음). 도 3b는 단계 108에서 콘택 트랜치가 식각된 후의 결과적인 구조를 도시하며, 도 3c는 단계 112 및 114에서 각각 콘택 저항 감소 금속(325) 및 금속 콘택 플러그(329)가 퇴적된 후에 결과적인 구조를 도시한다. 이해되는 바와 같이, 도 1a를 참조로 논의된 예시적 방법의 유사한 부분에 대한 이전의 관련 논의가 동일하게 여기서도 적용가능하다.
비-평면 구성
예를 들어 FinFET 또는 나노와이어 구성을 이용하여 비-평면 아키텍처가 구현될 수 있다. FinFET는 반도체 재료의 얇은 스트립(일반적으로 핀(fin)으로 지칭됨) 주변에 구축된 트랜지스터이다. 트랜지스터는 게이트, 게이트 유전체, 소스 영역 및 드레인 영역을 포함하는 표준 전계 효과 트랜지스터(FET) 노드(nodes)를 포함한다. 디바이스의 전도성 채널은 게이트 유전체 아래의 핀의 외측 상/내에 있다. 구체적으로, 전류는 핀의 측벽(기판 표면에 수직인 면)뿐만 아니라 핀의 상부(기판 표면에 평행한 면) 모두를 따라 흐른다. 상기 구성의 전도성 채널은 필수적으로 핀의 3개의 상이한 외부 평면 영역을 따라 존재하므로, 상기 FinFET 설계는 때로 트리-게이트 FinFET로 지칭된다. 소위 이중-게이트 FinFET와 같은 다른 종류의 FinFET 구성도 또한 가능하며, 여기서 전도성 채널은 주로 핀의 2개의 측벽만을 따라(그리고 핀의 상부는 따르지 않고) 존재한다. 나노와이어 트랜지스터(때로는 게이트-올-어라운드(gate-all-around) FET로 지칭됨)는 매우 유사하게 구성되지만, 핀 대신에 나노와이어(예를 들어, 실리콘 또는 SiGe 또는 Ge 나노와이어)가 사용되며, 게이트 재료는 일반적으로 모든 측 상에서 채널 영역을 둘러싼다. 특정 설계에 따라, 나노와이어 트랜지스터는 예를 들어 4개의 유효 게이트를 갖는다.
도 4a-4e는 각각, 본 발명의 한 실시예에 따라 구성된 예시적 비-평면 아키텍처의 투시도를 도시한다. 구체적으로, 도 4a-b는 각각 FinFET 트랜지스터 구조의 투시도를 도시하고, 도 4c-e는 예시적 나노와이어 채널 트랜지스터 구조를 도시한다. 이제 각 도면을 차례대로 설명할 것이다.
볼 수 있는 바와 같이, 도 4a에 도시된 예시적 비-평면 구성은, 각각 분리 영역(620)을 통해 기판(600)으로부터 연장된 반도체 바디 또는 핀(660)을 갖는 기판(600)을 포함하는 트리-게이트 디바이스로 구현된다. 핀(660)의 3개의 표면 위에 게이트 전극(640)을 형성하여 3개의 게이트를 형성한다. 게이트 전극(640)의 상부 상에 하드 마스크(690)를 형성한다. 게이트 전극(640)의 대향 측벽에 게이트 스페이서(670,680)를 형성한다. p-형 소스 영역은 오목한 소스 계면(650) 및 하나의 핀(660) 측벽 상에 형성된 에피택셜 영역(631a)을 포함하며, 드레인 영역은 오목한 소스 계면(650) 및 대향하는 핀(660) 측벽(미도시) 상에 형성된 에피택셜 영역(631a)을 포함한다. 또한, n-형 소스 영역은 오목한 소스 계면(650) 및 하나의 핀(660) 측벽 상에 형성된 에피택셜 영역(631b)을 포함하며, 드레인 영역은 오목한 소스 계면(650) 및 대향하는 핀(660) 측벽(미도시) 상에 형성된 에피택셜 영역(631b)을 포함한다. 소스/드레인 영역(631a 및 631b) 위에 III-V 재료 캡 층(641)을 퇴적한다. III-V 재료 캡 층(641)은 오목한(단부) 영역에 제공될 수 있지만, 다른 실시예에서는 단지 소스/드레인 영역 위에 제공됨(그리고 오목한 영역에는 제공되지 않음)을 주목한다. 한 실시예에서, 분리 영역(620)은, 기판(600)을 식각하여 트랜치를 형성한 다음, 트랜치 상에 산화물 재료를 퇴적하여 STI 영역을 형성하는 것과 같은 종래의 기술을 이용하여 형성된 STI 영역이다. 분리 영역(620)은 SiO2와 같은 임의의 적절한 유전체/절연 재료로 제조될 수 있다. 기판(300)에 관련된 이전의 설명이 여기에 동일하게 적용가능하다(예를 들어, 기판(600)은 실리콘 기판, 또는 SOI 기판과 같은 XOI 기판, 또는 다중 층 기판일 수 있음). 본 발명의 관점에서 이해되는 바와 같이, FinFET 트랜지스터 구조를 제조하기 위해 종래의 공정 및 형성 기술이 사용될 수 있다. 하지만, 본 발명의 한 예시적 실시예에 따라, 소스/드레인 영역(631a 및 631b) 및 캡 층(641)의 구조는, 예를 들어, III-V 재료 층(641 용)으로 캡핑된 인-시튜 도핑 실리콘 또는 SiGe(631a 및 631b 용)을 이용하여 구현될 수 있다. 추가로 이해되는 바와 같이, 트리-게이트 구성에 대한 대체물은 이중-게이트 아키텍처이며, 이는 핀(660)의 상부 상에 유전체/분리 층을 포함함을 주목한다. 도 4a에 도시된 소스/드레인 영역(631)(a 및 b)의 예시적 형태는 특허청구된 발명을 임의의 특정 소스/드레인 종류 또는 형성 공정으로 제한하고자 의도되지 않으며, 본 발명의 관점에서 다른 소스/드레인 형태(p 및 n 모두)가 명백할 것이다(예를 들어, 둥근 형, 정사각형, 또는 직사각형 p 및 n 소스/드레인 영역이 구현될 수 있음).
이해되는 바와 같이, 대체 공정(예를 들어, 식각, 에피택시 퇴적 등)을 이용하여, 도 4a에 도시된 소스/드레인 영역(631)(a 및 b)을 형성하였다. 하지만, 다른 실시예에서, 소스/드레인 영역(631)은, 도 4b에 최적으로 도시된 바와 같이, 기판(600) 재료 자체로 형성된 핀(660)의 일부일 수 있다. 하나의 소스/드레인 영역(631)만이 도시되지만, 다수의 상기 영역들이 유사한 방식으로(n-형 및 p-형 S/D 영역 모두를 포함) 구현될 수 있다. 도 4a를 참조로 전술된 바와 유사한 방식으로 소스/드레인 영역(631) 위에 III-V 재료 캡 층(641)이 퇴적된다. 이해되는 바와 같이, 도 4a에 관해 제공된 다른 관련 설명이 또한 여기서도 동일하게 적용가능하다.
다른 대체물은, 예를 들어 도 4c에 최적으로 도시된 바와 같이, 나노와이어(660)(예를 들어, 실리콘 또는 SiGe)가 위에 성장하거나 제공된 기판(600) 재료 받침대(600)를 포함할 수 있는 나노와이어 채널 아키텍처이다. 도 4b에 도시된 핀 구조와 유사하게, 나노와이어(660)는 소스/드레인 영역(631)(하나만 도시되지만, 전술한 바와 같이 p-형 및 n-형 모두를 포함하는 복수의 상기 영역이 구현될 수 있음)을 포함한다. 핀 구조와 같이, 소스/드레인 영역(631)은 기판(600) 재료(나노와이어가 이로부터 제조되는) 또는 하나 이상의 대체 재료(예를 들어, 실리콘 또는 SiGe)로 형성될 수 있다. III-V 재료(641)는, 예를 들어 나노와이어(660)의 모든 소스/드레인 영역(631) 주변에 또는 나노와이어(660)의 일부에만(예를 들어, 받침대 상의 일부를 제외한 모두) 제공될 수 있다. 도 4d는 복수의 나노와이어(660)(이 예시적 경우에는 2개)를 갖는 나노와이어 구성을 예시한다. 볼 수 있는 바와 같이, 한 나노와이어(660)는 기판(600)의 오목부에 제공되고 다른 하나는 III-V 재료(641) 층에 실질적으로 부유(floats)한다. 상응하는 소스/드레인 영역(631)은 수직 크로스-헤칭(cross-hatching)으로 나타내며, p-형 및/또는 n-형 소스/드레인 영역일 수 있다. 도 4e는 또한 복수의 나노와이어(660)를 갖는 나노와이어 구성을 예시하지만, 이 예시적 경우에, 본 발명의 관점에서 이해되는 바와 같이, 각종 종래의 기술을 이용하여 수행될 수 있는 나노와이어 형성 공정 동안 개별 나노와이어들 사이에서 비-활성 재료(632)가 제거되지 않는다. 따라서, 하나의 나노와이어(660)는 기판(600)의 오목부에 제공되고 다른 나노와이어(660)는 재료(632)의 상부 상에 실질적으로 존재한다. 나노와이어(660)는 채널을 통해 활성이지만, 재료(632)는 활성이 아님을 주목한다. 나노와이어(660)의 다른 노출된 모든 표면 주변에 III-V 재료(641) 층이 제공된다. 상응하는 소스/드레인 영역(631)은 수직 크로스 헤칭으로 나타내며 p-형 및/또는 n-형 소스/드레인 영역일 수 있다.
예시적 시스템
도 5는 본 발명의 예시적 실시예에 따라 구성된 하나 이상의 트랜지스터 구조로 구현된 컴퓨팅 시스템(1000)을 예시한다. 볼 수 있는 바와 같이, 컴퓨팅 시스템(1000)은 마더보드(1002)를 수용한다. 마더보드(1002)는, 이에 제한되지는 않지만, 각각 마더보드(1002)에 물리적 및 전기적으로 연결될 수 있거나 그 안에 통합된, 프로세서(1004) 및 적어도 하나의 통신 칩(1006)을 포함하는 다수의 구성요소를 포함할 수 있다. 이해되는 바와 같이, 마더보드(1002)는, 예를 들어, 메인 보드, 또는 메인 보드상에 탑재된 도터 보드(daughterboard), 또는 시스템(1000)의 유일한 보드 등일 수 있는 임의의 인쇄 회로 기판일 수 있다. 응용에 따라, 컴퓨팅 시스템(1000)은 마더보드(1002)에 물리적 및 전기적으로 연결될 수 있거나 연결되지 않을 수 있는 하나 이상의 다른 구성요소를 포함할 수 있다. 상기 다른 구성요소는, 이에 제한되지는 않지만 휘발성 메모리(예를 들어, DRAM), 비휘발성 메모리(예를 들어, ROM), 그래픽 프로세서, 디지털 신호 처리기, 암호 처리기, 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS 장치, 콤파스, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 장치(예를 들어, 하드 디스크 드라이브, CD, DVD 등)를 포함할 수 있다. 컴퓨팅 시스템(1000)에 포함된 임의의 구성요소는 본 출원에 설명된 하나 이상의 트랜지스터 구조(예를 들어, 더 낮은 콘택 저항/향상된 전도율을 제공하기 위해 n-형 및 p-형 소스/드레인 영역 모두의 위에 작은 밴드 갭의 또는 적절히 도핑된 III-V 재료 층을 갖는)를 포함할 수 있다. 이들 트랜지스터 구조는, 예를 들어 온-보드 프로세서 캐쉬 또는 메모리 어레이를 구현하기 위해 사용될 수 있다. 일부 실시예에서, 복수의 기능들이 하나 이상의 칩에 통합될 수 있다(예를 들어, 통신 칩(1006)은 프로세서(1004)의 일부일 수 있거나 그에 통합될 수 있음을 주목함).
통신 칩(1006)은 컴퓨팅 시스템(1000)에 및 컴퓨팅 시스템(1000)으로부터 데이터를 전송하기 위한 무선 통신을 가능하게 한다. 용어 "무선" 및 그의 파생어는 비-고형 매체를 통해 변조 전자기 복사를 사용함으로써 데이터를 통신할 수 있는, 회로, 장치, 시스템, 방법, 기술, 통신 채널 등을 설명하기 위해 사용될 수 있다. 용어는, 비록 일부 실시예에서는 그렇지 않을 수도 있지만, 관련 장치가 임의의 와이어를 포함하지 않음을 시사하지 않는다. 통신 칩(1006)은, 이에 제한되지는 않지만 Wi-Fi(IEEE 802.11 그룹), WiMAX(IEEE 802.16 그룹), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 그의 파생물 뿐만 아니라 3G, 4G, 5G 및 그 이상으로 지정된 임의의 다른 무선 프로토콜을 포함하는, 임의의 다수의 무선 표준 또는 프로토콜을 구현할 수 있다. 컴퓨팅 시스템(1000)은 복수의 통신 칩(1006)을 포함할 수 있다. 예를 들어, 제1 통신 칩(1006)은 Wi-Fi 및 블루투스와 같은 근거리 무선 통신 전용일 수 있으며, 제2 통신 칩(1006)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 및 기타와 같은 장거리 무선 통신 전용일 수 있다.
컴퓨팅 시스템(1000)의 프로세서(1004)는 프로세서(1004) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 일부 실시예에서, 프로세서의 집적 회로 다이는 본 출원에 설명된 바와 같은 하나 이상의 CMOS 트랜지스터 구조로 구현된 온-보드 메모리 회로를 포함한다. 용어 "프로세서"는, 예를 들어 레지스터 및/또는 메모리로부터 전자 데이터를 처리하여 그 전자 데이터를 레지스터 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변형하는 임의의 장치 또는 장치의 일부를 지칭할 수 있다.
통신 칩(1006)은 또한 통신 칩(1006) 내에 패키징된 집적 회로 다이를 포함할 수 있다. 상기 일부 예시적 실시예에 따라, 통신 칩의 집적 회로 다이는 본 출원에 설명된 바와 같은 하나 이상의 트랜지스터 구조로 구현된 하나 이상의 장치(예를 들어, 온-칩 프로세서 또는 메모리)를 포함한다. 본 발명의 관점에서 이해되는 바와 같이, 복수 표준 무선 기능이 프로세서(1004)에 직접 통합(예를 들어, 별도의 통신 칩을 갖지 않고 임의의 칩(1006)의 기능이 프로세서(1004)에 통합)될 수 있음을 주목한다. 프로세서(1004)는 상기 무선 기능을 갖는 칩셋일 수 있음을 추가로 주목한다. 즉, 임의의 수의 프로세서(1004) 및/또는 통신 칩(1006)을 사용할 수 있다. 이와 유사하게, 임의의 하나의 칩 또는 칩셋은 그 안에 통합된 복수의 기능을 가질 수 있다.
각종 구현에서, 컴퓨팅 시스템(1000)은 랩톱, 넷북, 노트북, 스마트폰, 태블릿, PDA, 울트라 모바일 PC, 휴대폰, 데스크톱 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋-톱 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 음악 플레이어, 또는 디지털 비디오 레코더일 수 있다. 추가 구현에서, 시스템(1000)은 데이터를 처리하거나 본 출원에 설명된 바와 같은 낮은 콘택 저항 트랜지스터 디바이스(예를 들어, p 및 n형 디바이스 모두를 갖는 CMOS 디바이스)를 사용하는 임의의 다른 전자 디바이스일 수 있다.
다수의 실시예가 명백할 것이며, 본 출원에 설명된 특징은 임의의 수의 구성으로 조합될 수 있다. 본 발명의 한 예시적 실시예는 반도체 집적 회로를 제공한다. 집적 회로는 다수의 채널 영역을 갖는 기판, 및 각 채널 영역 위의 게이트 전극을 포함하며, 여기서 각 게이트 전극과 상응하는 채널 영역 사이에 게이트 유전체 층이 제공된다. 집적 회로는 기판 내의 및 상응하는 채널 영역에 인접하는 p-형 소스/드레인 영역, 및 기판 내의 및 상응하는 채널 영역에 인접하는 n-형 소스/드레인 영역을 추가로 포함한다. 집적 회로는 적어도 p-형 소스/드레인 영역의 일부 및 n-형 소스 드레인 영역의 일부 상에 III-V 반도체 재료 층을 추가로 포함한다. 집적 회로는 III-V 반도체 재료 층 상에 금속 콘택을 추가로 포함한다. 일부 경우에, III-V 반도체 재료 층은 도핑되지 않는다. 일부 예시적 경우에, III-V 반도체 재료 층의 밴드 갭은 0.5 eV 미만이다. 다른 예시적 경우에, III-V 반도체 재료 층은 밴드 갭이 0.2 eV 미만이다. 일부 경우에, III-V 반도체 재료 층이 도핑된다. 상기 일부 경우에, III-V 반도체 재료 층은 p-형 및 n-형 소스/드레인 영역 양쪽에 대한 것과 동일한 도핑 체계를 갖는다. 상기 다른 경우에, III-V 반도체 재료 층은 p-형 소스/드레인 영역을 위한 제1 도핑 체계 및 n-형 소스/드레인 영역을 위한 제2 도핑 체계를 갖는다. III-V 반도체 재료 층은, 예를 들어 하나 이상의 양쪽성 도펀트(C, Si, Ge 및/또는 Sn)로 도핑될 수 있다. 상기 한 경우에, III-V 반도체 재료 층은 1E18 atoms/cm3 치환 농도보다 크게 하나 이상의 양쪽성 도펀트로 도핑된다. 디바이스는 예를 들어 평면 트랜지스터 아키텍처 또는 비-평면 트랜지스터 아키텍처로 구현될 수 있다. 상기 한 경우에, 비-평면 트랜지스터 아키텍처는 FinFET 트랜지스터 및/또는 나노와이어 트랜지스터의 적어도 하나를 포함한다. 일부 경우에, p-형 및 n-형 소스/드레인 영역은 실리콘, 게르마늄, 또는 그의 합금을 포함한다. 본 발명의 다른 실시예는, 이 단락에서 다양하게 정의된 바와 같은 하나 이상의 집적 회로를 갖는 인쇄 회로 기판을 포함하는 전자 디바이스를 제공한다. 상기 한 경우에, 하나 이상의 집적 회로는 통신 칩 및/또는 프로세서의 적어도 하나를 포함한다. 장치는 예를 들어 컴퓨팅 장치일 수 있다.
본 발명의 다른 실시예는 다수의 채널 영역을 갖는 실리콘-함유 기판, 및 각 채널 영역 위의 게이트 전극을 포함하는 디바이스를 제공하며, 여기서 각 게이트 전극과 상응하는 채널 영역 사이에 게이트 유전체 층이 제공된다. 디바이스는, 실리콘, 게르마늄 또는 그의 합금을 포함하며 기판 내의 및 상응하는 채널 영역에 인접하는 p-형 소스/드레인 영역, 및 실리콘, 게르마늄 또는 그의 합금을 포함하며 기판 내의 및 상응하는 채널 영역에 인접하는 n-형 소스/드레인 영역을 추가로 포함한다. 디바이스는, 적어도 p-형 소스/드레인 영역의 일부 및 n-형 소스/드레인 영역의 일부 상의 III-V 반도체 재료 층, 및 p-형 및 n-형 소스/드레인 영역 각각에 대한 III-V 반도체 재료 층 상의 금속 콘택을 추가로 포함한다. 한 특정 예시적 실시예에 따라, Si, SiGe 합금 및 Ge 소스/드레인 영역 상에 InSb의 III-V 재료 퇴적은 전도에 대해 매우 낮은 배리어가 산출되도록 시뮬레이션에 의해 예측된다. 본 발명의 관점에서 다른 적절한 III-V 재료 층이 명백할 것이다. 일부 경우에, III-V 반도체 재료 층은 도핑되지 않는다. 일부 경우에, III-V 반도체 재료 층의 밴드 갭은 0.5 eV 미만이다. 일부 경우에, III-V 반도체 재료 층은 도핑된다. 상기 일부 경우에, III-V 반도체 재료 층은 p-형 및 n-형 소스/드레인 영역 양쪽에 대한 것과 동일한 도핑 체계를 갖는다. 상기 다른 경우에, III-V 반도체 재료 층은 p-형 소스/드레인 영역을 위한 제1 도핑 체계 및 n-형 소스/드레인 영역을 위한 제2 도핑 체계를 갖는다. 일부 경우에, III-V 반도체 재료 층은 Ge와 같은 하나 이상의 양쪽성 도펀트로(예를 들어, 1E18 atoms/cm3 치환 농도보다 크게) 도핑된다.
본 발명의 다른 실시예는 반도체 디바이스의 형성 방법을 제공한다. 방법은 다수의 채널 영역을 갖는 기판을 제공하는 단계, 및 각 채널 영역 위에 게이트 전극을 제공하며, 여기서 각 게이트 전극과 상응하는 채널 영역 사이에 게이트 유전체 층이 제공되는 단계를 포함한다. 방법은 기판 내의 및 상응하는 채널 영역에 인접하는 p-형 소스/드레인 영역을 제공하는 단계, 및 기판 내의 및 상응하는 채널 영역에 인접하는 n-형 소스/드레인 영역을 제공하는 단계를 추가로 포함한다. 방법은 적어도 p-형 소스/드레인 영역의 일부 및 n-형 소스/드레인 영역의 일부 상에 III-V 반도체 재료 층을 제공하는 단계를 추가로 포함한다. 방법은 III-V 반도체 재료 층 상에 금속 콘택을 제공하는 단계를 추가로 포함한다.
본 발명의 실시예의 전술한 설명은 예시 및 설명의 목적으로 제시되었다. 이는 완전하거나, 본 발명을 개시된 정확한 형태로 한정하는 것을 의도하지 않는다. 본 발명의 관점에서 다수의 변형 및 변경이 가능하다. 본 발명의 범위는 본 상세한 설명에 의해서가 아니라 본 출원에 첨부된 청구항에 의해 한정됨을 의도한다.

Claims (25)

  1. 집적 회로로서,
    실리콘 또는 게르마늄 중 하나 또는 모두를 포함하는 p-형 소스/드레인 영역들;
    실리콘 또는 게르마늄 중 하나 또는 모두를 포함하는 n-형 소스/드레인 영역들;
    상기 p-형 소스/드레인 영역들 및 상기 n-형 소스/드레인 영역들의 각각 위에 콘택 트랜치를 갖는 절연체 층;
    상기 p-형 소스/드레인 영역들의 적어도 일부 및 상기 n-형 소스/드레인 영역들의 적어도 일부 상의 III-V 반도체 재료 층 - 상기 III-V 반도체 재료 층은 상기 III-V 반도체 재료 층의 적어도 일부에 도펀트를 포함하고, 상기 III-V 반도체 재료 층은 완전히 상기 콘택 트랜치들 내에 있음 -; 및
    상기 III-V 반도체 재료 층 상의 적어도 하나의 콘택 구조
    를 포함하는 집적 회로.
  2. 제1항에 있어서,
    상기 소스/드레인 영역들의 일부 위의 III-V 반도체 재료 층은 도핑되지 않은 집적 회로.
  3. 제1항에 있어서,
    상기 소스/드레인 영역들의 모두 위의 III-V 반도체 재료 층은 도핑된 집적 회로.
  4. 제1항에 있어서,
    상기 III-V 반도체 재료 층은 상기 p-형 및 n-형 소스/드레인 영역들의 모두에 대하여 동일한 도핑 체계를 갖는 집적 회로.
  5. 제1항에 있어서,
    상기 III-V 반도체 재료 층은 상기 p-형 소스/드레인 영역들에 대하여 제1 도핑 체계를 갖고 상기 n-형 소스/드레인 영역들에 대하여 제2 도핑 체계를 갖고, 상기 제1 도핑 체계는 상기 제2 도핑 체계와는 상이한 집적 회로.
  6. 제1항에 있어서,
    상기 III-V 반도체 재료 층에 포함된 도펀트는 하나 이상의 양쪽성 도펀트인 집적 회로.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 p-형 및 n-형 소스/드레인 영역들의 각각은 실리콘을 포함하는 집적 회로.
  8. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 p-형 및 n-형 소스/드레인 영역들 중 하나 이상의 반도체 재료는 기판과 조성적으로 다르고 상기 기판의 일부가 아닌 집적 회로.
  9. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 p-형 소스/드레인 영역들 사이의 제1 바디와 상기 n-형 소스/드레인 영역들 사이의 제2 바디를 더 포함하고, 상기 제1 및 제2 바디들의 각각은 실리콘 또는 게르마늄 중 하나 또는 모두를 포함하는 집적 회로.
  10. 제9항에 있어서,
    상기 제1 또는 제2 바디들 중 하나 또는 모두는 핀(fin) 형태인 집적 회로.
  11. 제10항에 있어서,
    게이트 구조를 더 포함하고, 상기 게이트 구조는 상기 핀 형태의 바디들의 3면에 인접한 집적 회로.
  12. 제9항에 있어서,
    상기 제1 또는 제2 바디들 중 하나 또는 모두는 나노와이어 형태인 집적 회로.
  13. 제12항에 있어서,
    게이트 구조를 더 포함하고, 상기 게이트 구조는 상기 나노와이어 형태의 바디들을 둘러싸는 집적 회로.
  14. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 III-V 반도체 재료 층은 0.5 eV 미만의 밴드갭을 갖는 집적 회로.
  15. 집적 회로로서,
    기판;
    제1 및 제2 핀들을 포함하는 복수의 핀들;
    실리콘, 게르마늄, 또는 실리콘 게르마늄을 포함하는 반도체 재료를 포함하는 p-형 소스/드레인 영역들;
    실리콘, 게르마늄, 또는 실리콘 게르마늄을 포함하는 반도체 재료를 포함하는 n-형 소스/드레인 영역들;
    상기 기판 위의 절연체 층 - 상기 절연체 층은 상기 p-형 소스/드레인 영역들 및 상기 n-형 소스/드레인 영역들의 각각 위에 콘택 트랜치를 가짐 -;
    상기 p-형 소스/드레인 영역들의 적어도 일부 및 상기 n-형 소스/드레인 영역들의 적어도 일부 상의 III-V 반도체 재료 층 - 상기 III-V 반도체 재료 층은 0.5 eV 미만의 밴드갭 또는 포함된 도펀트 중 하나 또는 모두를 포함하고, 상기 III-V 반도체 재료 층은 완전히 상기 콘택 트랜치들 내에 있음 -; 및
    상기 III-V 반도체 재료 층 상의 적어도 하나의 콘택 구조
    를 포함하고,
    상기 제1 또는 제2 핀들 중 하나 또는 모두는 상기 기판과 조성적으로 다르고 상기 기판의 일부가 아닌 반도체 재료를 포함하는 집적 회로.
  16. 집적 회로로서,
    제1 소스 영역 및 제1 드레인 영역 - 상기 제1 소스 및 드레인 영역들의 각각은 실리콘 또는 게르마늄 중 하나 또는 모두를 포함하고, p-형 도펀트도 포함함 -;
    제2 소스 영역 및 제2 드레인 영역 - 상기 제2 소스 및 드레인 영역들의 각각은 실리콘 또는 게르마늄 중 하나 또는 모두를 포함하고, n-형 도펀트도 포함함 -;
    상기 제1 소스 및 드레인 영역들 및 상기 제2 소스 및 드레인 영역들의 각각 위에 콘택 트랜치를 갖는 절연체 층;
    상기 제1 소스 및 드레인 영역들의 각각의 위의 제1 콘택 구조;
    상기 제2 소스 및 드레인 영역들의 각각의 위의 제2 콘택 구조;
    상기 제1 소스 영역 및 대응하는 제1 콘택 구조 사이의 층 - 상기 층은 상기 제1 드레인 영역 및 대응하는 제1 콘택 구조 사이에도 있고, 상기 층은 상기 제2 소스 영역 및 대응하는 제2 콘택 구조 사이에도 있고, 상기 층은 상기 제2 드레인 영역 및 대응하는 제2 콘택 구조 사이에도 있고, 상기 층은 알루미늄, 갈륨, 또는 인듐 중 하나 이상을 포함하고, 상기 층은 인, 비소, 또는 안티몬 중 하나 이상도 포함하고, 상기 층은 상기 층의 적어도 일부에 n-형 또는 p-형 도펀트를 더 포함하고, 상기 층은 완전히 상기 콘택 트랜치들 내에 있음 -
    을 포함하는 집적 회로.
  17. 제16항에 있어서,
    상기 층은 안티몬 또는 비소 중 하나 또는 모두와 인듐을 포함하는 집적 회로.
  18. 제16항 또는 제17항에 있어서,
    상기 제1 소스 및 드레인 영역들 사이의 제1 바디;
    상기 제1 바디에 근접한 제1 금속 게이트 구조;
    상기 제2 소스 및 드레인 영역들 사이의 제2 바디; 및
    상기 제2 바디에 근접한 제2 금속 게이트 구조
    를 더 포함하는 집적 회로.
  19. 제18항에 있어서,
    상기 제1 또는 제2 바디들 중 하나 또는 모두는 핀 형태로서, 대응하는 금속 게이트 구조가 상기 핀 형태의 바디들의 3면에 근접하도록 되어 있는 집적 회로.
  20. 제18항에 있어서,
    상기 제1 또는 제2 바디들 중 하나 또는 모두는 나노와이어 형태로서, 대응하는 금속 게이트 구조가 상기 나노와이어 형태의 바디들을 둘러싸도록 되어 있는 집적 회로.
  21. 집적 회로로서,
    제1 소스 영역 및 제1 드레인 영역 - 상기 제1 소스 및 드레인 영역들의 각각은 실리콘 또는 게르마늄 중 하나 또는 모두를 포함하고, p-형 도펀트도 포함함 -;
    제2 소스 영역 및 제2 드레인 영역 - 상기 제2 소스 및 드레인 영역들의 각각은 실리콘 또는 게르마늄 중 하나 또는 모두를 포함하고, n-형 도펀트도 포함함 -;
    상기 제1 소스 및 드레인 영역들 및 상기 제2 소스 및 드레인 영역들의 각각 위에 콘택 트랜치를 갖는 절연체 층;
    상기 제1 소스 및 드레인 영역들의 각각의 위의 제1 콘택 구조;
    상기 제2 소스 및 드레인 영역들의 각각의 위의 제2 콘택 구조; 및
    상기 제1 소스 영역 및 대응하는 제1 콘택 구조 사이의 층 - 상기 층은 상기 제1 드레인 영역 및 대응하는 제1 콘택 구조 사이에도 있고, 상기 층은 상기 제2 소스 영역 및 대응하는 제2 콘택 구조 사이에도 있고, 상기 층은 상기 제2 드레인 영역 및 대응하는 제2 콘택 구조 사이에도 있고, 상기 층은 알루미늄, 갈륨, 또는 인듐 중 하나 이상을 포함하고, 상기 층은 인, 비소, 또는 안티몬 중 하나 이상도 포함하고, 상기 층은 0.5 eV 미만의 밴드갭을 갖고, 상기 층은 완전히 상기 콘택 트랜치들 내에 있음 -
    을 포함하는 집적 회로.
  22. 제21항에 있어서,
    상기 층은 상기 층의 적어도 일부에 n-형 또는 p-형 도펀트를 더 포함하는 집적 회로.
  23. 제21항 또는 제22항에 있어서,
    상기 제1 소스 및 드레인 영역들 사이의 제1 바디;
    상기 제1 바디에 근접한 제1 금속 게이트 구조;
    상기 제2 소스 및 드레인 영역들 사이의 제2 바디; 및
    상기 제2 바디에 근접한 제2 금속 게이트 구조
    를 더 포함하는 집적 회로.
  24. 제23항에 있어서,
    상기 제1 또는 제2 바디들 중 하나 또는 모두는 핀 형태로서, 대응하는 금속 게이트 구조가 상기 핀 형태의 바디들의 3면에 근접하도록 되어 있는 집적 회로.
  25. 제23항에 있어서,
    상기 제1 또는 제2 바디들 중 하나 또는 모두는 나노와이어 형태로서, 대응하는 금속 게이트 구조가 상기 나노와이어 형태의 바디들을 둘러싸도록 되어 있는 집적 회로.





KR1020177030300A 2011-12-20 2011-12-20 Iii-v 반도체 재료 층을 갖는 반도체 디바이스 KR101891458B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/066132 WO2013095375A1 (en) 2011-12-20 2011-12-20 Iii-v layers for n-type and p-type mos source-drain contacts

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167009740A Division KR101790605B1 (ko) 2011-12-20 2011-12-20 Iii-v 반도체 재료 층을 갖는 반도체 디바이스

Publications (2)

Publication Number Publication Date
KR20170120208A KR20170120208A (ko) 2017-10-30
KR101891458B1 true KR101891458B1 (ko) 2018-08-24

Family

ID=48669033

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020177030300A KR101891458B1 (ko) 2011-12-20 2011-12-20 Iii-v 반도체 재료 층을 갖는 반도체 디바이스
KR1020147017474A KR20140097464A (ko) 2011-12-20 2011-12-20 n-형 및 p-형 MOS 소스-드레인 콘택들을 위한 III-V 층들
KR1020167009740A KR101790605B1 (ko) 2011-12-20 2011-12-20 Iii-v 반도체 재료 층을 갖는 반도체 디바이스

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020147017474A KR20140097464A (ko) 2011-12-20 2011-12-20 n-형 및 p-형 MOS 소스-드레인 콘택들을 위한 III-V 층들
KR1020167009740A KR101790605B1 (ko) 2011-12-20 2011-12-20 Iii-v 반도체 재료 층을 갖는 반도체 디바이스

Country Status (5)

Country Link
US (3) US9153583B2 (ko)
KR (3) KR101891458B1 (ko)
DE (1) DE112011105972B4 (ko)
TW (1) TWI567987B (ko)
WO (1) WO2013095375A1 (ko)

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8896066B2 (en) * 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
KR101891458B1 (ko) 2011-12-20 2018-08-24 인텔 코포레이션 Iii-v 반도체 재료 층을 갖는 반도체 디바이스
KR101648279B1 (ko) * 2011-12-27 2016-08-23 인텔 코포레이션 반도체 표면-근처 층의 도핑 농도를 증대시키는 방법 및 그의 제조 방법
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9105707B2 (en) * 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9281401B2 (en) * 2013-12-20 2016-03-08 Intel Corporation Techniques and configurations to reduce transistor gate short defects
US9530776B2 (en) * 2014-01-17 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET semiconductor device with germanium diffusion over silicon fins
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
WO2015127363A1 (en) * 2014-02-21 2015-08-27 Samsung Electronics, Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106030816B (zh) * 2014-03-26 2019-10-18 英特尔公司 具有增强的击穿电压的iii-n晶体管
US9947772B2 (en) * 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
US9171934B2 (en) 2014-04-01 2015-10-27 Globalfoundries Inc. Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US9299781B2 (en) * 2014-04-01 2016-03-29 Globalfoundries Inc. Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material
US9472628B2 (en) 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI575748B (zh) * 2014-09-01 2017-03-21 聯華電子股份有限公司 P型場效電晶體及包含該p型場效電晶體的互補式金屬氧化半導體電晶體
JP2017533574A (ja) 2014-09-18 2017-11-09 インテル・コーポレーション シリコンcmos互換性半導体装置における欠陥伝播制御のための傾斜側壁カット面を有するウルツ鉱ヘテロエピタキシャル構造物
KR102311937B1 (ko) * 2014-09-23 2021-10-14 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
KR102203497B1 (ko) 2014-09-25 2021-01-15 인텔 코포레이션 독립형 실리콘 메사들 상의 iii-n 에피택셜 디바이스 구조체들
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
EP3221886A4 (en) 2014-11-18 2018-07-11 Intel Corporation Cmos circuits using n-channel and p-channel gallium nitride transistors
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10056456B2 (en) 2014-12-18 2018-08-21 Intel Corporation N-channel gallium nitride transistors
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016105396A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Diffusion tolerant iii-v semiconductor heterostructures and devices including the same
WO2016105397A1 (en) 2014-12-23 2016-06-30 Intel Corporation Iii-v semiconductor alloys for use in the subfin of non-planar semiconductor devices and methods of forming the same
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9418846B1 (en) * 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107949914B (zh) 2015-05-19 2022-01-18 英特尔公司 具有凸起掺杂晶体结构的半导体器件
US9543216B2 (en) * 2015-06-05 2017-01-10 Globalfoundries Inc. Integration of hybrid germanium and group III-V contact epilayer in CMOS
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102349040B1 (ko) 2015-06-26 2022-01-10 인텔 코포레이션 고온 안정 기판 계면 재료를 갖는 헤테로 에피택셜 구조체들
US10211208B2 (en) 2015-06-26 2019-02-19 Intel Corporation High-mobility semiconductor source/drain spacer
WO2017003414A1 (en) * 2015-06-27 2017-01-05 Intel Corporation Low damage self-aligned amphoteric finfet tip doping
US10546858B2 (en) 2015-06-27 2020-01-28 Intel Corporation Low damage self-aligned amphoteric FINFET tip doping
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) * 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
WO2017111869A1 (en) 2015-12-24 2017-06-29 Intel Corporation Transition metal dichalcogenides (tmdcs) over iii-nitride heteroepitaxial layers
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102481427B1 (ko) 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9905663B2 (en) * 2016-06-24 2018-02-27 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9824921B1 (en) * 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10332986B2 (en) * 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9653464B1 (en) * 2016-09-14 2017-05-16 International Business Machines Corporation Asymmetric band gap junctions in narrow band gap MOSFET
KR102549340B1 (ko) 2016-09-27 2023-06-28 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10147719B2 (en) * 2016-11-17 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor field effect transistors and manufacturing method thereof
KR20180060328A (ko) * 2016-11-28 2018-06-07 삼성전자주식회사 멀티 모달 입력을 처리하는 전자 장치, 멀티 모달 입력을 처리하는 방법 및 멀티 모달 입력을 처리하는 서버
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20200161440A1 (en) * 2017-06-30 2020-05-21 Intel Corporation Metal to source/drain contact area using thin nucleation layer and sacrificial epitaxial film
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10453753B2 (en) * 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019066953A1 (en) 2017-09-29 2019-04-04 Intel Corporation REDUCED CONTACT RESISTANCE GROUP III (N-N) NITRIDE DEVICES AND METHODS OF MAKING SAME
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019117946A1 (en) * 2017-12-15 2019-06-20 Intel Corporation Reducing off-state leakage in semiconductor devices
WO2019132858A1 (en) * 2017-12-26 2019-07-04 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nmos transistors
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10840052B2 (en) * 2018-06-22 2020-11-17 International Business Machines Corporation Planar gate-insulated vacuum channel transistor
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11410890B2 (en) * 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112930591A (zh) 2018-09-18 2021-06-08 应用材料公司 原位集成型腔室
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113678260A (zh) 2019-02-08 2021-11-19 应用材料公司 半导体器件、制作半导体器件的方法及处理系统
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111613666B (zh) * 2020-06-04 2023-04-18 英诺赛科(珠海)科技有限公司 半导体组件及其制造方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123867A (ja) * 2005-09-30 2007-05-17 Infineon Technologies Ag 半導体デバイスおよびその製造方法
US20080124878A1 (en) * 2006-11-28 2008-05-29 Cook Ted E Multi-component strain-inducing semiconductor regions
US20080203432A1 (en) * 2007-02-23 2008-08-28 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100475084B1 (ko) 2002-08-02 2005-03-10 삼성전자주식회사 Dram 반도체 소자 및 그 제조방법
US20050266654A1 (en) 2004-05-27 2005-12-01 Hattendorf Michael L Barrier to amorphization implant
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7560780B2 (en) 2005-12-08 2009-07-14 Intel Corporation Active region spacer for semiconductor devices and method to form the same
DE102006030261B4 (de) 2006-06-30 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit reduzierter Bordiffusion und Transistor
US7864120B2 (en) 2007-05-31 2011-01-04 Palm, Inc. High isolation antenna design for reducing frequency coexistence interference
US10236032B2 (en) 2008-09-18 2019-03-19 Novachips Canada Inc. Mass data storage system with non-volatile memory modules
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
KR101669470B1 (ko) 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
US20120305891A1 (en) * 2011-06-03 2012-12-06 Nayfeh Osama M Graphene channel transistors and method for producing same
US8823011B2 (en) * 2011-08-17 2014-09-02 Bae Systems Information And Electronic Systems Integration Inc. High linearity bandgap engineered transistor
US8420459B1 (en) * 2011-10-20 2013-04-16 International Business Machines Corporation Bulk fin-field effect transistors with well defined isolation
US8896066B2 (en) 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
CN104011870B (zh) 2011-12-20 2017-03-01 英特尔公司 减小的接触电阻的自对准接触金属化
KR101891458B1 (ko) 2011-12-20 2018-08-24 인텔 코포레이션 Iii-v 반도체 재료 층을 갖는 반도체 디바이스
US20130299895A1 (en) 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123867A (ja) * 2005-09-30 2007-05-17 Infineon Technologies Ag 半導体デバイスおよびその製造方法
US20080124878A1 (en) * 2006-11-28 2008-05-29 Cook Ted E Multi-component strain-inducing semiconductor regions
US20080203432A1 (en) * 2007-02-23 2008-08-28 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20160329431A1 (en) 2016-11-10
DE112011105972B4 (de) 2023-05-25
US9705000B2 (en) 2017-07-11
DE112011105972T5 (de) 2014-09-25
KR101790605B1 (ko) 2017-10-27
TW201342612A (zh) 2013-10-16
WO2013095375A1 (en) 2013-06-27
US9153583B2 (en) 2015-10-06
KR20140097464A (ko) 2014-08-06
KR20170120208A (ko) 2017-10-30
TWI567987B (zh) 2017-01-21
US20160027781A1 (en) 2016-01-28
KR20160045923A (ko) 2016-04-27
US9397102B2 (en) 2016-07-19
US20130285155A1 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
KR101891458B1 (ko) Iii-v 반도체 재료 층을 갖는 반도체 디바이스
US9754940B2 (en) Self-aligned contact metallization for reduced contact resistance
US9966440B2 (en) Tin doped III-V material contacts
US10304927B2 (en) Selective germanium p-contact metalization through trench
US10297670B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization
US11004954B2 (en) Epitaxial buffer to reduce sub-channel leakage in MOS transistors
US11081570B2 (en) Transistors with lattice matched gate structure

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right