DE112011105972B4 - III-V Schichten für N-Typ- und P-Typ-MOS-Source/Drain-Kontakte - Google Patents

III-V Schichten für N-Typ- und P-Typ-MOS-Source/Drain-Kontakte Download PDF

Info

Publication number
DE112011105972B4
DE112011105972B4 DE112011105972.8T DE112011105972T DE112011105972B4 DE 112011105972 B4 DE112011105972 B4 DE 112011105972B4 DE 112011105972 T DE112011105972 T DE 112011105972T DE 112011105972 B4 DE112011105972 B4 DE 112011105972B4
Authority
DE
Germany
Prior art keywords
drain regions
material layer
semiconductor material
source
type source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112011105972.8T
Other languages
English (en)
Other versions
DE112011105972T5 (de
Inventor
Glenn A. Glass
Anand S. Murthy
Tahir Ghani
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Google LLC
Original Assignee
Google LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Google LLC filed Critical Google LLC
Publication of DE112011105972T5 publication Critical patent/DE112011105972T5/de
Application granted granted Critical
Publication of DE112011105972B4 publication Critical patent/DE112011105972B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7809Vertical DMOS transistors, i.e. VDMOS transistors having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Integrierter Halbleiterschaltkreis, der aufweist:ein Substrat (300), das eine Mehrzahl Kanalbereiche aufweist;eine Gateelektrode (304) oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht (302) zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist;p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich;n-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich;eine dotierte III-V-Halbleitermaterialschicht (317) auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche und auf einem Teil der n-Typ-Source/Drain-Bereiche, wobei die dotierte III-V-Halbleitermaterialschicht direkt über den Source/Drain-Bereichen ausgebildet ist, und wobei die Source/Drain-Bereiche dotiertes Silizium aufweisen; undeinen Metallkontakt auf der dotierten III-V-Halbleitermaterialschicht.

Description

  • HINTERGRUND
  • Die gesteigerte Leistungsfähigkeit von Schaltkreisbauteilen einschließlich Transistoren, Dioden, Widerständen, Kondensatoren sowie anderen passiven und aktiven elektronischen Bauteilen, welche auf einem Halbleitersubstrat ausgebildet werden, ist typischerweise bei der Entwicklung, der Herstellung sowie beim Betrieb derartiger Bauteile ein wesentlicher Faktor. Beispielsweise wird bei der Entwicklung sowie bei der Herstellung oder Ausbildung von Metalloxidhalbleiter(MOS)-Transistorhalbleiterbauteilen, etwa solchen, welche in einem komplementären Metalloxidhalbleiter (CMOS) verwendet werden, häufig angestrebt, den mit den Kontakten verbundenen parasitären Widerstand zu minimieren, welcher auch als externer Widerstand „Rext“ bekannt ist. Ein verringerter Rext ermöglicht einen höheren Strom bei gleicher Transistorgeometrie.
  • Die Druckschrift US 2011/0121393 A1 offenbart einen Feldeffekttransistor mit Source- und Drainbereichen mit schmaler Bandlücke, die US 2008/0203432 A1 offenbart eine Halbleitervorrichtung und ein Verfahren zur Herstellung desselben, die US 2011/0068407 A1 offenbart Germanium-FinFETs mit Metall- Gates und Stressoren und die US 2008/0124878 A1 offenbart Mehrkomponenten dehnungsinduzierende Halbleiterbereiche. Die Erfindung wird beschrieben durch eine Vorrichtung nach Anspruch 1, eine Vorrichtung nach Anspruch 14 und ein Verfahren nach Anspruch 20.
  • Figurenliste
    • Die 1A zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer Ausführungsform der vorliegenden Erfindung.
    • Die 1B zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer anderen Ausführungsform der vorliegenden Erfindung.
    • Die 2A bis 2F veranschaulichen Strukturen, die ausgebildet werden, wenn das Verfahren gemäß 1A ausgeführt wird, gemäß einer Ausführungsform der vorliegenden Erfindung.
    • Die 3A bis 3C veranschaulichen alternative Strukturen, die ausgebildet werden, wenn das Verfahren gemäß 1B ausgeführt wird, gemäß einer anderen Ausführungsform der vorliegenden Erfindung.
    • Die 4A-E zeigen jeweils eine perspektivische Ansicht einer nicht-planaren Transistorarchitektur, welche gemäß einer Ausführungsform der vorliegenden Erfindung aufgebaut ist.
    • Die 5 veranschaulicht ein Computersystem, welches mit einer oder mit mehreren Transistorstrukturen gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung umgesetzt ist.
  • Wie zu erkennen ist, sind die Figuren nicht notwendigerweise maßstabsgetreu gezeichnet, oder dazu vorgesehen, die beanspruchte Erfindung auf die dargestellten, besonderen Anordnungen zu beschränken. Beispielsweise kann vorgesehen sein, obwohl manche Figuren grundsätzlich gerade Linien, rechte Winkel und glatte Oberflächen zeigen, dass bei einer tatsächlichen Umsetzung eine Transistorstruktur weniger perfekte, gerade Linien und rechte Winkel aufweist, wobei manche Elemente eine Oberflächentopologie oder anderweitig unglatte Oberfläche aufweisen können, entsprechend den gegebenen Limitierungen der verwendeten Verarbeitungsgeräte und -technologien. Kurz gesagt dienen die Figuren lediglich dazu, beispielhafte Strukturen zu veranschaulichen.
  • Es werden Technologien für die Ausbildung von Transistorbauteilen offenbart, welche im Vergleich zu konventionellen Bauteilen einen verringerten parasitären Kontaktwiderstand aufweisen. Diese Technologien können beispielsweise ein dem Punkt im Halbleiterverarbeitungsvorgang umgesetzt werden, wo die herkömmliche Kontaktverarbeitung ein Silizid unmittelbar auf einen Silizium-Source/Drain-Bereich vorsehen würde, unter Verwendung eines Standardkontaktstapels, etwa einer Abfolge von Metallen auf Silizium (Si)-, Silizium-Germanium (SiGe)- oder Germanium (Ge)-Source/Drain-Bereichen. Bei manchen beispielhaften Ausführungsformen können diese Technologien dazu verwendet werden, um die Kontakte von MOS-Transistoren eines CMOS-Bauteils auszubilden, wobei eine Zwischen-III-V-Halbleitermaterialschicht zwischen den p-Typ- und den n-Typ-Source/Drain-Bereichen und deren entsprechenden Kontaktmetallen bereitgestellt wird, um den Kontaktwiderstand wesentlich zu verringern. Die Zwischen-III-V-Halbleitermaterialschicht kann eine kleine Bandlücke (zum Beispiel weniger als 0,5 eV) aufweisen und/oder dotiert sein, um die gewünschte Leitfähigkeit aufzuweisen. Diese Technologien können auf vielzählige Transistorarchitekturen (zum Beispiel planare, gerippte sowie Nanodraht-Transistoren) angewendet werden, einschließlich auf gespannte und ungespannte Kanalstrukturen.
  • Allgemeiner Überblick
  • Wie zuvor beschrieben wurde, kann in dem Transistor eine erhöhte Steuerstromstärke durch eine Verringerung des Bauteilwiderstandes erreicht werden. Der Kontaktwiderstand ist ein Komponente des Gesamtwiderstands eines Bauteils. Ein typischer Transistorkontaktstapel umfasst beispielsweise eine Silizium- oder SiGe-Source/Drain-Schicht, eine Silizid-Germanid-Schicht, eine Titan-Nitrit-Haftschicht und einen Wolframkontakt/-stecker. Silizide und Germanide von Metallen wie Nickel, Platin, Titan, Kobalt usw. können vor der Abscheidung des Wolframsteckers auf den Source/Drain-Bereichen ausgebildet werden. Bei derartigen Anordnungen ist der Kontaktwiderstand vergleichsweise hoch und letztlich begrenzt durch die Silizium- oder SiGe-Valenzbandausrichtung an das Pinningniveau in dem Metall. In der Praxis umfassen typische Ansätze für das Ausbilden von Kontakten grundsätzlich Legierungen mit Bandlücken zwischen 0,5-1,5 eV oder mehr. Während manche dieser Ansätze für die n-Typ-Transistorstrukturen geeignet sein mögen, sind sie jedoch für p-Typ-Transistorstrukturen ungeeignet.
  • Demgemäß wird gemäß einer Ausführungsform der vorliegenden Erfindung eine Zwischen-III-V-Halbleitermaterialschicht nach der Source/Drain-Ausbildung, jedoch vor der Metallkontaktabscheidung abgeschieden. Es ist zu beachten, dass dieselbe Zwischen-III-V-Halbleitermaterialschicht sowohl über den p-Typ- als auch über den n-Typ-Source/Drain-Bereichen abgeschieden werden kann. Bei manchen Ausführungsformen ist die III-V-Materialschicht danach ausgewählt, eine enge Bandlücke aufzuweisen, beispielsweise Indiumantimonid (InSb) oder andere verwandte Verbindungen mit Bandlücken unterhalb von 0,5 eV, einschließlich verschiedener Kombinationen von Aluminium (Al), Gallium (Ga), Indium (In), Phosphor (P), Arsen (As) und/oder Antimon (Sb). Solche III-V-Materialschichten mit kleiner Bandlücke können beispielsweise dazu verwendet werden, um MOS-Transistor-Source/Drain-Bereichen, wie p-Typ- und n-Typ-Si-, oder -SiGe-Legierungen sowie Ge-Source/Drain-Bereichen gute Kontakteigenschaften zu verschaffen. Bei anderen Ausführungsformen können III-V-Materialien mit beliebiger Bandlücke abgeschieden und dotiert werden, wodurch deren Leitfähigkeit auf ein Niveau angehoben wird, dass vergleichbar mit dem von III-V-Materialien mit kleiner Bandlücke ist, oder auf ein für die gegebene Anwendung anderweitig akzeptables Leitfähigkeitsniveaus.
  • Es ist festzuhalten, dass bei manchen Ausführungsformen das III-V-Halbleitermaterial undotiert bleiben kann, insbesondere bei III-V-Materialien mit Bandlücken von weniger als 0,5 eV, da die thermische Ladungsträgerausbildung in Materialien mit niedriger Bandlücke bereits bei Zimmertemperatur ausreichend ist, um eine hohe Leitfähigkeit zu erzielen. Bei anderen Ausführungsformen, bei denen eine Dotierung verwendet wird, etwa bei denjenigen, die III-V-Materialien mit beliebiger Bandlücke verwenden, kann das Dotieren auf eine Vielzahl von Art und Weisen ausgeführt werden, einschließlich mit Hilfe von in-situ- als und/oder ex-situ-Dotierungstechnologien. Manche dieser Ausführungsformen umfassen die Verwendung von III-V-Materialien, welche ausreichend hohe Dotierungsniveaus eines Spalte-IV-Dotanden wie Kohlenstoff, Silizium, Germanium oder Zink aufweisen. Bei sehr hohen Dotierungsniveaus (zum Beispiel mit einer Substitutionskonzentration von mehr als 1∙1018 Atome/cm3), fügen diese amphoteren Dotanden Ladungsträger sowohl im Valenz- als auch im Leitungsband hinzu, wodurch die Ladungsträgerkonzentration für beide Ladungsträgerarten erhöht wird. In machen dieser Fälle wird die Dotierung in-situ durchgeführt. Bei anderen Ausführungsformen wird eine intrinsische III-V-Materialschicht abgeschieden, gefolgt von einem ex-situ-Dotierungsprozess, etwas von Ionenimplantation oder Diffusionsdotierung, um die benötigte Leitfähigkeit bereitzustellen (zum Beispiel eine Leitfähigkeit mit Werten von beispielsweisen 100 bis 500 S/cm3). In manchen beispielhaften Fällen kann die III-V-Materialschicht derart dotiert werden, dass die p-Typ-Bereiche ein erstes Dotierungschema und die n-Typ-Bereiche ein zweites Dotierungsschema aufweisen. Beispielsweise können die n-Typ-Source/Drain-Bereiche beispielsweise mit Silizium, Germanium, Tellur und die p-Typ-Source/Drain-Bereiche mit Zink oder Cadmium dotiert werden. Wie es im Lichte der Offenbarung zu erkennen ist, umfassen solche Ausführungsformen mit mehreren Dotierungsschemen grundsätzlich zusätzliche Strukturierungsschritte.
  • Es wird weiterhin festgehalten, dass die III-V-Materialschicht dazu verwendet werden kann, um den Kontaktwiderstand in einer beliebigen Anzahl von Transistorstrukturen sowie anderen Konfigurationen zu verbessern, einschließen in planaren Strukturen, Strukturen mit erhöhtem Source/Drain-Bereich, in nichtplanaren Strukturen (zum Bespiel in Nanodrahttransistoren und gerippten Transistoren wie DoppelGate- und Dreifach-Gate-Transistor-Strukturen) als auch in gespannten und unverspannten Kanalstrukturen. Darüber hinaus können die Transistorstrukturen Source- und Drain-Spitzenbereiche umfassen, die darauf ausgelegt sind, um beispielsweise den Gesamtwiderstand des Transistors zu verringern, bei gleichzeitiger Verbesserung des Kurzkanaleffektes (SCE), wie es manchmal vorgesehen ist. Eine Vielzahl strukturierter Elemente kann in Verbindung mit einer zuvor beschriebenen III-V-Halbleitermaterialschicht verwendet werden.
  • Die Transistorstruktur kann p-Typ-Source/Drain-Bereiche, n-Typ-Source/Drain-Bereiche, oder sowohl n-Typ- als auch p-Typ-Source/Drain-Bereiche umfassen. Bei manchen beispielhaften Ausführungsformen umfasst die Transistorstruktur dotandenimplantierte Source/Drain-Bereiche oder epitaktische (oder polykristalline) Austausch-Source/Drain-Bereiche aus Silizium, SiGe-Legierungen oder nominell reine Germaniumschichten (beispielsweise solche mit weniger als 10% Silizium) in einer MOS-Struktur. Bei jeder derartigen Ausführungsform kann gemäß einer Ausführungsform der vorliegenden Erfindung eine Schicht oder ein Deckel aus III-V-Halbleitermaterial direkt über den Source/Drain-Bereichen ausgebildet werden. Die III-V-Materialschicht kann auch direkt über anderen Teilen der Transistorstruktur ausgebildet werden. Etwa über PolyGates und/oder Erdungskontaktbereichen, oder über anderen derartigen Bereichen, bei denen ein niedriger Kontaktwiderstand erforderlich ist, sofern dies gewünscht ist.
  • Untersuchungen (zum Beispiel Raster-Elektronenmikroskopie und/oder Zusammensetzungsanalysen) haben gezeigt, dass eine gemäß einer Ausführungsform der vorliegenden Erfindung ausgebildete Strukturzusammensetzung eine zusätzliche III-V-Halbleitermaterialschicht aufweisen wird, die beispielsweise Zusammensetzungen von Al, Ga, In, P, As und/oder Sb (neben irgendwelchen Dotanden, welche die Leitfähigkeit auf ein annehmbares Niveau anheben, falls notwendig) aufweisen, und einen Kontaktwiderstand ausbilden, der geringer als der Kontaktwiderstand von Bauteilen ist, welche mit konventionellen Silizid- und Germanitkontaktprozessen ausgebildet sind. Es sollte anerkannt werden, dass jegliche Anzahl von Halbleiterbauteilen oder Schaltkreisen, bei denen der Bedarf nach Kontakten mit hoher Leistungsfähigkeit besteht, von den hier beschriebenen Technologien zur Bereitstellung von Kontakten mit niedrigem Widerstand profitieren können.
  • Daher bieten die Transistorstrukturen, welche gemäß Ausführungsformen der vorliegenden Erfindung ausgebildet sind, eine Verbesserung gegenüber konventionellen Strukturen hinsichtlich eines niedrigeren Kontaktwiderstandes. Verschiedene Prozessänderungen können sich im Lichte der Offenbarung ergeben. Beispielsweise kann das III-V-Halbleitermaterial auf den Source/Drain-Bereichen abgeschieden werden, bevor eine Nichtleiterschicht über der Source/Drain-Schicht abgeschieden wird. Alternativ kann das III-V-Halbleitermaterial auf den Source/Drain-Bereichen abgeschieden werden, nachdem eine Nichtleiterschicht über den Source/Drain-Schichtbereichen abgeschieden worden ist und nachdem Kontaktgräben in die Source/Drain-Schicht geätzt worden sind.
  • Methodik und Aufbau
  • Die 1A zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer Ausführungsform der vorliegenden Erfindung. Die 2A bis 2F veranschaulichen beispielhafte Strukturen, welche ausgebildet werden, wenn das Verfahren gemäß manchen Ausführungsformen durchgeführt wird.
  • Das beispielhafte Verfahren umfasst das Ausbilden 102 eines oder mehrerer Gatestapel auf einem Halbleitersubstrat, auf dem ein MOS-Bauteil ausgebildet werden kann. Das MOS-Bauteil kann NMOS- oder PMOS-Transistoren, oder sowohl NMOS- als auch PMOS-Transistoren (zum Beispiel für CMOS-Bauteile) aufweisen. Die 2A zeigt beispielhaft eine sich ergebende Struktur, welche in diesem Falle sowohl NMOS- als auch PMOS-Transistoren umfasst, die auf demselben Substrat 300 und durch eine Shallow-Trench-Isolation (STI) voneinander getrennt ausgebildet sind. Andere geeignete Isolationsarten zwischen p-Typ- und n-Typ-Bereichen können ebenso verwendet werden. Wie zu erkennen ist, wird jeder Gatestapel über einem Kanalbereich eines Transistors ausgebildet und umfasst eine Gatedielektrikumsschicht 302, eine Gateelektrode 304, eine optionale Hartmaske 306, sowie Abstandshalter 310 angrenzend an den Gatestapel ausgebildet sind.
  • Das Gatedielektrikum 302 kann beispielsweise irgendein geeignetes Oxid, etwa Siliziumdioxid (SiO2) oder ein Gatedielektrikumsmaterial mit hohem k-Wert sein. Beispiele für Dielektrikumsmaterialien mit hohem k-Wert umfassen beispielsweise Hafniumoxid, Hafnium-Siliziumoxid, Lanthanoxid, Lanthan-Aluminiumoxid, Zirkoniumoxid, Zirkonium-Siliziumoxid, Tantaloxid, Titanoxid, Barium-Strontium-Titanoxid, Barium-Titanoxid, Strontium-Titanoxid, Yttriumoxid, Aluminiumoxid, Blei-Skandium-Titanoxid sowie Blei-Zinkniobat. Bei mancher Ausführungsform kann ein Heilungsprozess auf die Gatedielektrikumsschicht 302 ausgeführt werden, um ihre Qualität zu verbessern, wenn ein
  • Material mit hohem k-Wert verwendet wird. Bei manchen besonderen beispielhaften Ausführungsformen kann die Gatedielektrikumsschicht 302 mit hohem k-Wert eine Dicke zwischen 0,5 nm bis ungefähr 10 nm (zum Beispiel 1 nm) aufweisen. Bei anderen Ausführungsformen kann die Gatedielektrikumsschicht 302 die Dicke eine Monoschicht Oxidmaterial aufweisen. Grundsätzlich sollte die Dicke des Gatedielektrikums 302 ausreichend sein, um die Gateelektrode 304 von dem Source- und dem Drain-Kontakt elektrisch zu isolieren. Bei manchen Ausführungsformen können zusätzliche Verarbeitungsschritte auf die Gatedielektrikumsschicht 302 mit hohem k-Wert angewendet werden, etwa ein Heilungsprozess, um die Qualität des Materials mit hohem k-Wert zu verbessern.
  • Das Gateelektrodenmaterial 304 kann beispielsweise Polysilizium, Siliziumnitrid, Siliziumkarbid oder eine Metallschicht sein (zum Beispiel Wolfram, Titannitrid, Tantal, Tantalnitrid), obwohl auch andere geeignete Gateelektrodenmaterialien ebenso verwendet werden können. Das Gateelektrodenmaterial 304, welches ein Opfermaterial sein kann, das später für einen AustauschmetallGate-Prozess (RMG) entfernt werden kann, weist bei manchen Ausführungsformen eine Dicke zwischen 1 nm und 50 nm (beispielsweise 10 nm) auf.
  • Die optionale Gate-Hartmaskenschicht 306 kann dazu verwendet werden, um bestimmte Vorteile oder Anwendungen während der Verarbeitung bereitzustellen, beispielsweise um die Gateelektrode 304 vor nachfolgenden Ätz- und/oder Ionenimplantationsprozessen zu schützen. Die Hartmaskenschicht 306 kann unter Verwendung typischer Hartmaskenmaterialien ausgebildet werden, etwa Siliziumdioxid, Siliziumnitrid und/oder anderen konventionelle Nichtleitermaterialien.
  • Der Gatestapel kann wie üblich, oder unter Verwendung einer geeigneten Sondertechnologie (zum Beispiel mit einem konventioneller Strukturierungsprozess, um Anteile der Gateelektrode und der Gatedielektrikumsschicht wegzuätzen, um den Gatestapel auszubilden, wie es in 2A gezeigt ist) ausgebildet werden. Sowohl das Gatedielektrikumsmaterial 302 als auch das Gateelektrodenmaterial 304 kann beispielsweise unter Verwendung herkömmlicher Abscheidungsprozesse wie chemischer Dampfabscheidung (CVD), atomarer Schichtabschaltung (ALD), Spin-on-Abscheidung (SOD) oder physikalischer Dampfabscheidung (PVD) ausgebildet werden. Alternative Abscheidungstechnologien können ebenso verwendet werden, beispielsweise können die Materialien des Gatedielektrikums 302 und der Gateelektrode thermisch aufgewachsen werden. Wie es im Lichte der Offenbarung zu erkennen ist, kann jede mögliche Anzahl anderer geeigneter Materialien, Geometrien und Ausbildungsprozesse verwendet werden, um eine Ausführungsform der vorliegenden Erfindung umzusetzen, mit dem Ziel, ein Transistorbauteil oder eine Struktur mit niedrigem Kontaktwiderstand bereitzustellen, wie es hierin beschrieben ist.
  • Die Abstandshalter 310 können beispielsweise unter Verwendung herkömmlicher Materialien wie Siliziumoxid, Siliziumnitrit oder anderen geeigneten Abstandshaltermaterialien ausgebildet werden. Die Breite der Abstandshalter 310 kann grundsätzlich auf Grundlage der Designanforderungen an den auszubildenden Transistor ausgewählt werden. Gemäß manchen Ausführungsformen unterliegt jedoch die Breite der Abstandshalter 310 nicht den Entwicklungsbeschränkungen hinsichtlich der Ausbildung der Source- und Drain-epi-Spitzen, vorausgesetzt, dass ein hinreichend hoher Bohr-dotierter Germaniumanteil in dem Source/Drain-Spitzenbereich vorliegt.
  • Jede Anzahl geeigneter Substrate kann dazu verwendet werden, um das Substrat 300 umzusetzen, einschließlich massiver Substrate, Halbleiter-Nichtleiter-Substrate (XOI, wobei X ein Halbleitermaterial wie Silizium, Germanium oder mit Germanium angereichertes Silizium ist) sowie mehrschichtige Strukturen, einschließlich solche Substrate auf welchen Rippen oder Nonodrähte vor einem nachfolgenden Gatestrukturierungsprozess ausgebildet werden. In manchen besonderen Beispielen ist das Substrat 300 ein massives Germanium-, Silizium- oder SiGe-Substrat oder ein Germanium-, Silizium- oder SiGe-auf-Oxid-Substrat. Obwohl wenige beispielhafte Materialien beschrieben sind, aus denen das Substrat 300 ausgebildet sein kann, soll jedes Material, welches eine Basis für ein Halbleiterbauteil mit niedrigem Kontaktwiderstand darstellen kann, in den Umfang der beanspruchten Erfindung fallen.
  • Weiter mit Bezug auf die 1A setzt das Verfahren nach der Ausbildung eines oder mehrerer Gatestapel mit dem Festlegen 104 der Source/Drain-Bereiche der Transistorstruktur fort. Die Source/Drain-Bereiche können mit jeder Anzahl geeigneter Verfahren und Konfigurationen umgesetzt werden. Beispielsweise können dies Source/Drain-Bereiche implantiert werden, geätzt und epi-gefiillt werden, angehoben werden, Silizium-, Germanium- oder SiGe-Legierungen sein, p-artig und/oder n-artig sein, und sie können einen planaren, einen gerippten oder einen drahtförmigen Diffusionsbereich aufweisen. Beispielsweise können bei manchen derartigen Beispielen die Source- und Drain-Bereiche unter Verwendung entweder eines Implantations-/Diffusionsprozesses oder eines Ätz-/Abscheidungsprozesses ausgebildet werden. Bei dem vorangegangenen Prozess können Dotanden wie Aluminium, Antimon, Phosphor oder Arsen in das Substrat 300 ionenimplantiert werden, um die Source- und Drain-Bereiche auszubilden. Der Ionenimplantierungsprozess wird typischerweise gefolgt von einem Ausheilungsprozess, welcher die Dotanden aktiviert und ebenso bewirken kann, dass diese weiter in das Substrat 300 hineindiffundieren. Bei dem letztgenannten Prozess kann das Substrat 300 zunächst geätzt werden, um Vertiefungen an den Stellen der Source- und der Drain-Bereiche auszubilden. Ein epitaktischer Abscheidungsprozess kann daraufhin ausgeführt werden, um die Vertiefungen mit einer Siliziumlegierung wie Silizium-Germanium oder Siliziumkarbid aufzufüllen, wodurch die Source- und Drain-Bereiche ausgebildet werden. Bei manchen Ausführungsformen kann die epitaktisch abgeschiedene Siliziumlegierung in-situ oder ex-situ mit Dotanden wie Bohr, Arsen oder Phosphor dotiert werden.
  • Bei der in den 2A-2F dargestellten Ausführungsform wurde das Substrat 300 geätzt, um Vertiefungen sowie Spitzenbereiche bereitzustellen, welche das Gatedielektrikum 302 unterwandern. Die Vertiefungen und Spitzenbereiche wurden aufgefüllt, um die Source/Drain-Bereiche sowie die optionalen Spitzenbereiche bereitzustellen. Gemäß manchen besonderen beispielhaften Ausführungsformen, bei denen das Substrat 300 ein massives Siliziumsubstrat oder ein Silizium-auf-Nichtleiter-Substrat (SOI) ist, werden die Source- und Drain-Vertiefungen neben ihren entsprechenden Spitzenbereichen mit in-situ-dotiertem Silizium, mit SiGe oder mit Germanium aufgefüllt, wodurch die Source- und Drain-Bereiche (neben ihren entsprechenden epi-Spitzen) ausgebildet werden. Dabei kann jede Anzahl von Source/Drain-Schichtkonfigurationen verwendet werden. Hinsichtlich der Materialien (zum Beispiel dotiertes oder undotiertes Si, Ge, SiGe), der Dotanden (zum Beispiel Bohr, Arsen oder Phosphor) sowie der Geometrieen (die Dicke der Source/Drain-Schicht kann beispielsweise zwischen 50 und 500 nm liegen, um beispielsweise fluchtende oder erhabene Source/Drain-Bereiche bereitzustellen).
  • Wie es im Lichte der Offenbarung zu erkennen ist, kann auch jede Anzahl anderer Transistorelemente in Verbindung mit einer Ausführungsform der vorliegenden Erfindung umgesetzt werden. Beispielsweise kann der Kanal gespannt oder ungespannt sein und die Source/Drain-Bereiche können Spitzenbereiche umfassen oder nicht, welche in dem Bereich zwischen dem entsprechenden Source/Drain-Bereich und dem Kanalbereich ausgebildet sind. In diesem Sinne ist es nicht von besonderer Relevanz für die verschiedenen Ausführungsformen der vorliegenden Erfindung, ob eine Transistorstruktur gespannte oder ungespannte Kanäle aufweist, oder Source/Drain-Spitzenbereiche, oder keine Source/Drain-Spitzenbereiche, wobei derartige Ausführungsformen nicht dazu vorgesehen sind, auf irgendwelche bestimmten strukturellen Elemente beschränkt zu werden. Vielmehr soll diese Anzahl von Transistorstrukturen und -typen und insbesondere sollen derartige Strukturen, die sowohl n-Typ- als auch p-Typ-Source/Drain-Transistorbereiche aufweisen, von der Anwendung einer III-V-Materialschicht mit einer Bandlücke und/oder einer solchen Schicht, die anderweitig dotiert ist, über dem Source/Drain-Bereich, wie zuvor beschrieben, profitieren. Grundsätzlich ist bei Zimmertemperatur dann keine Dotierung notwendig, wenn die Bandlücke klein genug ist (obwohl eine Dotierung verwendet werden kann, falls dies gewünscht ist). In einem besonderen Beispielfall bedient InSb sowohl p- als auch n-Typ-Source/Drain-Bereiche ohne jegliche Dotierung. Für III-V-Materialien mit größerer Bandlücke (> 0,5 eV), kann das Dotieren dazu verwendet werden, um die gewünschte Leitfähigkeit bereitzustellen.
  • Weiter mit Bezug auf die 1A setzt das Verfahren dieser beispielhaften Ausführungsform, nachdem die Source/Drain-Bereiche festgelegt worden sind, mit dem Abscheiden 106 einer Nichtleiterschicht 322 fort. Die 2B zeigt eine Nichtleiterschicht 322, welche mit der Hartmaske 306 des Gatestapels fluchtet, wobei dies nicht unbedingt erforderlich ist. Der Nichtleiter kann auf verschiedene Weise ausgebildet sein. Bei manchen Ausführungsformen wird die Nichtleiterschicht 322 mit Hilfe von SiO2 oder anderen Nichtleitermaterialien mit niedrigem k-Wert ausgebildet. Grundsätzlich kann die Dielektrizitätskonstante des Schichtmaterials 322 nach Bedarf ausgewählt werden. Bei manchen Ausführungsformen kann die Nichtleiterschicht 322 eine Auskleidung umfassen (zum Beispiel Siliziumnitrit), gefolgt von einer oder mehreren Schichten SiO2, oder irgendeiner Kombination von Nitrit, Oxid, Oxynitrit, Karbid, Oxykarbid oder anderen geeigneten Nichtleitermaterialien. Die Nichtleiterschicht 322 welche als Zwischenschicht-Dielektrikum (ILD) bezeichnet wird, kann auf übliche Weise planarisiert werden (zum Beispiel mit Hilfe des Planarisierungsprozesses im Anschluss an die Abscheidung, etwa mit Hilfe chemisch-mechanischer Planarisierung, oder CMP). Andere beispielhafte Nichtleitermaterialien, welche für die Ausbildung der Schicht 322 verwendet werden können, umfassen beispielsweise Kohlenstoff dotiertes Oxid (CDO), organische Polymere wie Perflurcyclobutan oder Polytetrafluoräthylen, Fluorosilikatglas (FSG), und Organosilikate wie Silsesquioxan, Siloxan oder Organonosilikatglas. Bei manchen beispielhaften Konfigurationen kann die Nichtleiterschicht 322 Poren oder andere Fehlstellen umfassen, um ihre dielektrische Konstante noch weiter abzusenken.
  • Wie es im Lichte der Offenbarung zu erkennen und gemäß manchen Ausführungsformen der vorliegenden Erfindung vorgesehen ist, bei denen ein AustauschmetallGateprozess (RMG) verwendet wird, kann das Verfahren weiterhin das Entfernen des Gatestapels (einschließlich der Gatedielektrikumsschicht 302 mit hohem k-Wert, der OpferGateelektrode 304 sowie der Hartmaskenschicht 306) unter Verwendung eines herkömmlichen Ätzprozesses umfassen. In manchen derartigen Fällen werden lediglich die OpferGate 304 und die Hartmaskenschicht 306 entfernt. Falls die Gatedielektrikumsschicht 302 entfernt wird, kann das Verfahren mit der Abscheidung einer neuen Gatedielektrikumsschicht in die Grabenöffnung hinein fortsetzen. Jegliche geeignete Gatedielektrikumsmaterialien, wie die zuvor beschriebenen, können dabei verwendet werden, etwa Hafniumoxid. Dieselben Abscheidungsverfahren können ebenso verwendet werden. Der Austausch der Gatedielektrikumsschicht kann beispielsweise dazu verwendet werden, um irgendwelche Zerstörungen zu beheben, welche die ursprüngliche Gatedielektrikumsschicht während der Anwendung der Trocken- und Nassätzprozesse davongetragen hat, und/oder um ein Dielektrikumsmaterial mit niedrigem k-Wert oder ein Opfermaterial mit hohem k-Wert oder ein anderweitig benötigtes Dielektrikumsmaterial auszutauschen. Bei derartigen RMG-Prozessen kann das Verfahren weiterhin das Abscheiden der Gateelektrodenschicht in den Graben hinein und über die Gatedielektrikumsschicht umfassen. Konventionelle Abscheidungsprozesse können dazu verwendet werden, um die Austausch-Gateelektrode auszubilden, etwa CVD, ALD und PVD. Die Gateelektrodenschicht kann beispielsweise ein p-Typ-Austrittsarbeitsmetall umfassen, beispielsweise Ruthenium, Palladium, Platin, Kobalt, Nickel und leitfähige Metalloxide, zum Bespiel Rutheniumoxid. Bei manchen beispielhaften Konfigurationen können zwei oder mehr Metall-Gateelektrodenschichten abgeschieden werden. Beispielsweise kann ein Austrittsarbeitsmetall in dem Gategraben abgeschieden werden, gefolgt von einem geeignetem metallischen Gateelektroden-Füllmetall, etwa Aluminium oder Silber. Die 2B' zeigt eine beispielhafte Gatestruktur, welche sich durch einen optionalen RMG-Prozess ergibt, welcher eine AustauschGateelektrodenschicht 326 über einer AustauschGatedielektrikumsschicht 324 umfasst. Bei noch anderen Ausführungsformen können derartige RMG-Prozesse später in dem Verfahren durchgeführt werden (zum Beispiel nach dem Schritt 114), so dass die Austausch-Gatematerialien nicht der Weiterverarbeitung entsprechend den Schritten 108 bis 114 ausgesetzt werden.
  • Weiter wird Bezug auf 1A setzt das Verfahren nach der Bereitstellung der Nichtleiterschicht 322 (sowie jegliches Rohrkontaktausbildungs-RMG-Prozesses) mit dem Ätzen 108 fort, um die Source/Drain-Kontaktgräben auszubilden. Dazu kann jeglicher geeigneter Trocken- und/oder Nassätzprozess verwendet werden. Die 2C zeigt die Source/Drain-Kontaktgräben, nachdem das Ätzen abgeschlossen ist, gemäß einer beispielhaften Ausführungsform.
  • Das Verfahren setzt mit dem Abscheiden 110 einer III-V Halbleitermaterialschicht auf den Source/Drain-Bereichen der Transistorstruktur fort. Die 2D zeigt die III-V Materialschicht 317 über sowohl dem n-Typ- als auch dem p-Typ-Source/Drain-Bereich, gemäß einer beispielhaften Ausführungsform. Die Abscheidung kann nicht-selektiv durchgeführt werden, wobei jedwede überschüssige III-V-Abscheidung anschließend von der Oberfläche des Nichtleiters 322 (und, falls notwendig, von den Gatestapeln) entfernt wird. Bei anderen Ausführungsformen kann die Abscheidung selektiv durchgeführt werden, wobei die III-V-Materialabscheidung lediglich auf den Source/Drain-Bereichen (oder einer Teilmenge dieser) durchgeführt wird. Beispielsweise ist bei manchen Ausführungsformen die Abscheidung 110 selektiv, insoweit, als dass der Prozess die Maskierung der p-Typ-Bereiche oder der n-Typ-Bereiche, gefolgt von selektiver Abscheidung, umfasst, um zu erreichen, dass die Abscheidung ausschließlich in dem einen oder dem anderen der Bereiche stattfindet (zum Beispiel, in denen wo die p-Typ-Bereiche eine III-V-Materialzusammensetzung erhalten, die ein erstes Dotierungsschema aufweist, und wobei die n-Typ-Bereiche eine III-V-Materialzusammensetzung erhalten, welche ein zweites Dotierungsschema aufweist). Alternativ kann die Abscheidung 110 mittels einer einzigen Zusammensetzung undotierten III-IV-Materials auf sämtlichen Source/Drain-Bereichen durchgeführt werden, gefolgt von einer anschließenden Maskierung und Dotierung, um den Kontaktwiderstand auf die Dotierungsart des darunterliegenden Source/Drain-Materials zu optimieren. Alternativ kann die Abscheidung 110 einer einzigen Zusammensetzung undotierten III-IV-Materials auf sämtlichen Source/Drain-Bereichen durchgeführt werden, wobei das undotiertere III-IV-Material eine Bandlücke von wenigster als 0,5 eV aufweist (zum Beispiel eine Bandlücke von InxGa1-xAs = 0,427 eV, wobei x gleich 0,9 ist). In manchen Fällen mit derartig kleiner Bandlücke beträgt die Bandlücke weniger als 0,4 eV (zum Beispiel die Bandlücke von InAs = 0,36 eV). In noch anderen derartigen Fällen beträgt die Bandlücke weniger als 0,3 eV. In noch anderen derartigen Fällen beträgt die Bandlücke weniger als 0,2 eV (zum Beispiel die Bandlücke von InSb = 0,17 eV). Bei noch anderen derartigen Fällen liegt die Bandlücke innerhalb eines Bereiches, beispielsweise zwischen 0,1 eV und 0,4 eV, oder zwischen 0,1 eV und 0,25 eV, oder zwischen 0,25 eV und 0,5 eV, oder zwischen 0,15 eV und 0,35 eV. Es sollte jedoch festgehalten werden, dass die III-V-Materialien nicht auf solche beschränkt werden sollten, die eine Bandlücke von weniger als 0,5 eV aufweisen. Dies liegt daran, dass das III-V-Material beispielsweise mittels in-situ-Dotierung, Diffussionsdotierung oder Implantierungsdotierung abgeschieden werden kann, so dass es auf die Dotierungsart des darunter liegenden Source/Drain-Materials abgestimmt ist.
  • Bei manchen beispielhaften Ausführungsformen wird die III-V-Materialschicht 317 epitaktisch abgeschieden. Die Dicke der III-V-Materialschicht 317 kann in einem Bereich zwischen beispielsweise 5 bis 25 nm gemäß manchen besonderen beispielshaften Ausführungsformen liegen, obwohl andere Ausführungsformen andere Schichtdicken aufweisen können, wie es sich dem Fachmann im Lichte der Offenbarung erschließt. Bei manchen Ausführungsformen kann ein CVD-Prozess oder eine andere geeignete Abscheidungstechnologie für die Abscheidung 108 oder anderweitige Ausbildung der III-V-Materialschicht 317 verwendet werden. Beispielsweise kann die Abscheidung 308 mittels CVD, thermisch beschleunigtem CVD (RT-CVD), Niederdruck-CVD (LP-CVD), oder Ultrahochvakuum-CVD (UHV-CVD), oder mittels Gasquellenmolikularstrahlepitaxy (GS-MBE) unter Verwendung von III-V-Materialzusammensetzungen, etwa Verbindungen aus Al, Ga, In, P, As, Sb und/oder Vorprodukten dieser erfolgen. Bei einer besonderen beispielhaften Ausfuhrungsform wird die III-V-Materialschicht 317 mithilfe undotiertem Indiumantimonid (InSb) hergestellt. Bei anderen Ausführungsformen wird die III-V-Materialschicht 317 mithilfe von GaAs, dotiert mit Ge, hergestellt, um eine Ge-Austauschkonzentration von 1∙1019 Atome/cm3 oder mehr bereitzustellen, was zu einem Widerstand von ungefähr 5∙10-3 Ohm cm (bzw. einer entsprechenden Leitfähigkeit von ungefähr 200 Ohm-1 cm-1) führt. In manchen derartigen Ausführungsformen kann ein Trägergas verwendet werden, wie beispielsweise Wasserstoff, Stickstoff oder ein Edelgas (z.B. wird ein Precursor mit einem Trägergas auf eine Konzentration von 1-20% verdünnt). In manchen Beispielfällen kann ein Arsenvorläufermaterial wie Arsenwasserstoff oder TBA, ein Galliumvorläufermaterial wie TMG und/oder ein Indiumvorläufermaterial wie TMI verwendet werden. Weiterhin kann ein Ätzgas wie beispielsweise ein hallogenbasiertes Gas, wie Wasserstofffluorid (HF), Chlor (Cl) oder Wasserstoffbromid (HBr) vorliegen Die Basisabscheidung der III-V-Halbleitermaterialschicht 317 ist über einen weiten Prozessparameterbereich möglich, beispielsweise unter Verwendung einer Abscheidungstemperatur zwischen 300° C und 700° C (zum Beispiel 400-500° C), bei einem Prozessdruck von beispielsweise 133,3 Pa bis 101,3 kPa (1 Torr bis 760 Torr). Sowohl das Ätzgas als auch das Trägergas können eine Flussrate zwischen 10 und 300 SCCM aufweisen (typischerweise ist jedoch eine Flussrate von nicht mehr als 100 SCCM notwendig, wobei jedoch einige andere Ausführungsformen von höheren Flussraten profitieren können). Bei einer besonderen bespielhaften Ausführungsform wird die Abscheidung 110 bei einer Flussrate zwischen 100 und 1000 SCCM durchgeführt. Für die in-situ-Dotierung von Germanium kann beispielsweise verdünntes German oder Digerman verwendet werden (zum Beispiel kann das German bei einer Konzentration von 10% in H2 verdünnt werden, bei einer Flussrate zwischen 10 und 100 SCCM).
  • Der Fachmann wird im Lichte der Offenbarung erkennen, dass die Selektivität, mit der die III-V-Materialschicht 317 abgeschieden wird, nach Bedarf variiert werden kann. In manchen Fällen wird die III-V-Materialschicht 317 beispielsweise lediglich auf den Source/Drain-Bereichen oder einem Anteil der Source/Drain-Bereiche abgeschieden (anstatt über die gesamte Struktur hinweg). Jedwede Maskierung-Strukturierungstechnologie kann weiterhin dazu verwendet werden, um Unterbereiche festzulegen, auf denen die Schicht 317 selektiv abgeschieden wird. Darüber hinaus können andere Ausführungsformen von der Bedeckung durch die Schicht 317 profitieren, beispielsweise freiliegende PolyGatebereiche oder freiliegende Erdungskontaktbereiche. Wie es weiterhin der Offenbarung zu entnehmen ist, kann die III-V-Materialschicht 317 dazu verwendet werden, um einen wesentlich geringeren Kontaktwiderstand in den Source- und in den Drain-Bereichen zu realisieren (sowie in anderen Bereichen, wo ein niedriger Kontaktwiderstand wünschenswert ist, etwa in Erdungskontaktbereichen), wie dies in manchen beispielhaften Ausführungsformen vorgesehen ist.
  • Das Verfahren setzt daraufhin mit der Abscheidung 112 eines Kontaktwiderstandsverringerungsmetalls sowie einem Heilungsschritt fort, woraufhin die Abscheidung 114 der Source/Drain-Kontaktstecker erfolgt. Es ist festzuhalten, dass bei derartigen Ausführungsformen kein Silizid oder Germanit vorliegt. Vielmehr findet jedwede Reaktion zwischen dem III-V-Material 317 und der metallischen Kontaktwiderstandsverringerungsschicht 325 statt. Die 2E: zeigt die Kontaktwiderstandsverringerungsmetalle 325, welche bei manchen Ausführungsformen Silber, Nickel, Aluminium, Titan, Gold, Gold-Germanium, Nickel-Platin oder Nickel-Aluminium und/oder andere derartige Widerstandsverringerungsmetalle oder -legierungen umfassen. Andere Ausführungsformen können weiterhin zusätzliche Schichten umfassen, etwa Haftschichten zwischen der Schicht 317 und der Schicht 325, falls dies gewünscht ist. Die 2F zeigt das Kontaktsteckermetall 329, welches bei manchen Ausführungsformen Aluminium oder Wolfram umfasst, obwohl auch jedes andere geeignete leitfähige Kontaktmaterial oder jede andere leitfähige Kontaktlegierung verwendet werden kann, etwa Silber, Nickel-Platin oder Nickel-Aluminium oder andere Legierungen von Nickel und Aluminium oder Titan, unter Verwendung herkömmlicher Abscheidungstechnologien. Beispielsweise können Transistoren mit einem Source/Drain-Bereich auf Grundlage einer III-V-Materialschicht 317 an der Schnittstelle zwischen den Source/Drain-Bereichen und dem Kontaktwiderstandsverringerungsmetall 325 Widerstandswerte von weniger als 100 Ohm-um aufweisen, und in manchen Fällen sogar von weniger als 90 Ohm-um, wobei in manchen Fällen sogar weniger als 80 Ohm-um und in noch anderen Fällen weniger als 75 Ohm-um oder noch weniger erreicht werden.
  • Die 1B zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer anderen Ausführungsform der vorliegenden Erfindung. Die 3A bis 3C veranschaulichen alternative Beispielsstrukturen, die ausgebildet werden. Grundsätzlich ähnelt dieses Verfahren dem Verfahren, das mit Bezug auf die 1A und 2A-F beschrieben worden ist, mit der Ausnahme, dass die Abscheidung der III-V-Materialschicht 317 auf den Source/Drain-Bereichen vor der Abscheidung des Nichtleiters 322 durchgeführt wird. Dies ist in 1B gezeigt, indem die Germanium-Materialabscheidung 110 nach der Festlegung des Source/Drain-Bereichs 104 und vor die Nichtleiterabscheidung 106 verlegt wird. Die sich daraus ergebende Struktur nach der Nichtleiterabscheidung 106 ist in 3A gezeigt. Es bleibt festzuhalten, dass in dieser beispielhaften Ausführungsform die III-V-Materialschicht 317 jeden der dargestellten Source/Drain-Bereiche vollständig bedeckt, statt nur des Anteils, der durch den Kontaktgraben freigelegt ist (wie es am anschaulichsten in 2 D gezeigt ist). Die 3B zeigt die sich ergebende Struktur nachdem die Kontaktgräben bei 108 geätzt worden sind, wobei in 3C die sich ergebende Struktur nach der Abscheidung des Kontaktwiderstandsverringerungsmetalls 325 sowie der Metallkontaktstecker 329 in den Schritten 112 und bzw. 114 erfolgt ist. Es sollte anerkannt werden, dass die vorangegangene zutreffende Diskussion in Bezug auf ähnliche Teile des beispielhaften Verfahrens, das mit Bezug auf die 1A beschrieben worden ist, im vorliegenden Fall entsprechend Anwendung findet.
  • Nicht-planare Konfiguration
  • Eine nicht-planare Architektur kann beispielsweise unter Verwendung von FinFETs oder Nanodrahtfigurationen umgesetzt werden. Ein FinFET ist ein Transistor, welcher um einen dünnen Streifen Halbleitermaterial (dieser wird grundsätzlich als eine Finne bezeichnet) aufgebaut ist. Der Transistor umfasst den Knoten eines Standardfeldeffekttransistors (FET), einschließlich einer Gate, einem Gatedielektrikum, einem Source-Bereich sowie einem Drain-Bereich. Der leitfähige Kanal des Bauteils verbleibt auf/innerhalb den/der äußeren Seiten der Finne unterhalb des Gatedielektrikums. Insbesondere fließt ein Strom entlang beider Seitenwände innerhalb der Finne (die Seiten, die sich rechtwinklig zu der Substratoberfläche erstrecken), als auch entlang der Oberseite der Finne (die Seite, sich parallel zu der Substratoberfläche erstreckt). Da der leitfähige Kanal derartiger Konfigurationen im Wesentlichen entlang der drei unterschiedlichen äußeren, planaren Bereiche der Finne angeordnet ist, wird ein derartiges FinFET-Design manchmal auch als ein dreifach-Gate-FinFET bezeichnet. Andere Arten von FinFET-Konfigurationen sind ebenfalls bekannt. Beispielsweise sogenannte Doppel-Gate-FinFETs, bei welchen der leitfähige Kanal grundsätzlich lediglich entlang der zwei Seitenwände der Finne angeordnet ist (jedoch nicht entlang der Oberseite der Finne).
  • Ein Nanodrahttransistor (der manchmal auch als Gate-all-around-FinFET bezeichnet wird) ist sehr ähnlich aufgebaut, wobei er jedoch anstelle einer Finne einen Nanodraht verwendet (zum Beispiel einen Silizium- oder einen SiGe- oder einen Ge-Nanodraht), wobei das Gate-Material grundsätzlich den Kanalbereich an allen Seiten umgibt. Abhängig von dem jeweiligen Design besitzen Nanodrahttransistoren beispielsweise vier effektive Gates.
  • Die 4A-4E zeigen jeweils eine perspektivische Ansicht einer beispielhaften nicht planaren Architektur, welche gemäß einer Ausführungsform der vorliegenden Erfindung ausgebildet ist. Insbesondere zeigen die 4A-B jeweils eine perspektivische Ansicht einer FinFET-Transistorstruktur, und die 4C-E zeigen beispielhafte Nanodrahtkanaltransistorstrukturen. Nachstehend wird jede der Figuren diskutiert.
  • Wie zu erkennen ist, ist die beispielhafte nicht-planare Konfiguration, die in 4A gezeigt ist, mit Hilfe von dreifach-Gate-Bauteilen ausgeführt, von denen jedes ein Substrat 600 umfasst, das einen Halbleiterkörper oder eine Finne 660 aufweist, welche sich von dem Substrat 600 durch den Isolationsbereich 620 hindurch erstreckt. Eine Gateelektrode 640 ist über drei Oberflächen der Finne 660 ausgebildet, um drei Gates auszubilden. Eine Hartmaske 690 ist auf der Oberseite der Gateelektrode 640 ausgebildet. Gate-Abstandshalter 670, 680 sind auf gegenüberliegenden Seitenwänden der Gateelektrode 640 ausgebildet. Ein p-Typ-Source-Bereich weist den epitaktischen Bereich 631a auf, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf einer Finnenseitenwand 660 ausgebildet ist, wobei ein Drain-Bereich den epitaktischen Bereich 631a aufweist, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf der gegenüberliegenden Finnenseitenwand 660 (nicht dargestellt) ausgebildet ist. Darüber hinaus weist ein n-Typ-Source-Bereich den epitaktischen Bereich 631 e auf, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf einer Finnenseitenwand 660 ausgebildet ist, wobei ein Drain-Bereich den epitaktischen Bereich 631b aufweist, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf der gegenüberliegenden Finnenseitenwand 660 (nicht dargestellt) ausgebildet ist. Eine III-V-Materialdeckschicht 641 ist über den Source/Drain-Bereichen 631a und 631b abgeschieden. Es ist festzuhalten, dass die III-V-Materialdeckschicht 641 in dem vertieften (Spitzen-) Bereich bereitgestellt werden kann, wobei sie bei anderen Ausführungsformen lediglich über den Source/Drain-Bereichen (und nicht in den vertieften Bereichen) bereitgestellt ist. Bei einer Ausführungsform sind die Isolationsbereiche 620 Shallow-Trench-Isolation-Bereiche (STI), welche unter Verwendung herkömmlicher Technologien ausgebildet worden sind, etwa durch Ätzen des Substrat 600, um Gräben auszubilden, und daraufhin Abscheiden eines Oxidmaterials auf die Gräben, um die STI-Bereiche auszubilden. Die Isolatorbereiche 620 können aus irgendeinem geeigneten dielektrischen/nichtleitenden Material, etwa aus SiO2 hergestellt sein.
  • Die vorangegangene Diskussion mit Bezug auf das Substrat 300 ist im hier beschriebenen Fall gleichlautend anwendbar (zum Beispiel kann das Substrat 600 ein Siliziumsubstrat oder ein XOI-Substrat, etwa ein SOI-Substrat sein, oder ein mehrschichtiges Substrat). Wie es im Lichte der Offenbarung zu erkennen ist, können herkömmliche Verarbeitungs- und Ausbildungstechnologien dazu verwendet werden, um die FinFET-Transistorstruktur herzustellen. Gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung wird die Struktur der Source/Drain-Bereiche 631a und 631b sowie die Deckschicht 641 jedoch beispielsweise unter Verwendung eines in-situ dotiertem Siliziums oder SiGe (auch für 631a und 631b) umgesetzt werden, bedeckt mit einer III-V-Materialschicht (für 641). Wie es weiterhin zu erkennen ist, besteht eine Alternative zu der dreifach-Gate-Konfiguration in einer Doppel-Gate-Architektur, welche eine dielektrische/Isolator-Schicht auf der Oberseite der Finne 660 umfasst. Es sollte weiterhin festgehalten werden, dass die beispielhafte Form der Source/Drain-Bereiche 631 (a und b), die in 4a gezeigt ist, nicht dazu vorgesehen ist, die beanspruchte Erfindung auf irgendwelche besonderen Source/Drain-Arten oder Ausbildungsprozesse zu beschränken, wobei im Lichte der Offenbarung auch andere Source/Drain Formen (sowohl p und n) naheliegend sind (zum Beispiel runde, quadratische oder rechtwinkelige p- und n-Source/Drain-Bereiche können ebenso umgesetzt werden).
  • Es ist anzuerkennen, dass die Source/Drain-Bereiche 631 (a und b), die in 4A gezeigt sind, unter Verwendung eines Ersatzprozesses ausgebildet worden sind (zum Beispiel Ätzen, epitaktische Abscheidung, usw.). In anderen Ausführungsformen können jedoch die Source/Drain-Bereiche 631 Teil der Finne 660 sein, die aus dem Material des Substrates 600 selbst hergestellt ist, wie es am besten in 4B gezeigt ist. Obwohl lediglich ein Source/Drain Bereich 631 dargestellt ist, können eine Vielzahl derartiger Bereiche in ähnlicher Ausgestaltung (einschließlich sowohl n-Typ- als auch p-Typ-S/D-Bereiche) umgesetzt werden. Eine III-V-Materialdeckschicht 641 ist über den Source/Drain-Bereichen 631 auf eine ähnliche Weise wie mit Bezug auf die 4A beschrieben, abgeschieden. Die darüber hinaus gehende, mit Bezug auf die 4A angeführte Diskussion ist ebenso im vorliegenden Fall gleichlautend anwendbar, wie es der Fachmann ohne weiteres erkennen wird.
  • Eine weitere Alternative ist die Nanodrahtkanalarchitektur, welche beispielsweise ein Podest aus Substratmaterial 600 umfassen kann, auf welchem ein Nanodraht 660 (zum Beispiel Silizium oder SiGe) aufgewachsen oder anderweitig bereitgestellt wird, wie es am besten in 4C gezeigt ist. Ähnlich zu der Finnenstruktur, die in 4B gezeigt ist, umfasst der Nanodraht 660 Source/Drain-Bereiche 631 (wobei lediglich einer gezeigt ist, jedoch mehrere derartige Bereiche vorgesehen sein können, einschließlich sowohl p-Typ- als auch n-Typ-Bereiche, wie es zuvor erklärt worden ist). Wie auch die Finnenstruktur können die Source/Drain-Bereiche 631 aus dem Substratmaterial 600 ausgebildet werden (aus welchem auch die Nanodrähte hergestellt werden), oder aus einem oder mehreren Ersatzmaterialien (zum Beispiel Silizium oder SiGe). Das III-V-Material 641 kann beispielsweise um sämtliche Source/Drain-Bereiche 631 des Nanodraht 660 herum bereitgestellt werden, oder lediglich an einem Anteil des Nanodrahts 660 (zum Beispiel überall mit Ausnahme des Anteils auf dem Podest). Die 4D veranschaulicht eine Nanodrahtkonfiguration, welche mehrere Nanodrähte 660 aufweist (in diesem Fall sind es zwei). Wie zu erkennen ist, wird ein Nanodraht 660 in einer Vertiefung des Substrates 600 bereitgestellt, wobei der andere in der III-V-Materialschicht 641 schwimmt. Die entsprechenden Source/Drain-Bereiche 631 sind mit vertikaler Schraffierung dargestellt und können p-Typ- und/oder n-Typ-Source/Drain-Bereiche sein. Die 4E veranschaulicht ebenso eine Nanodrahtkonfiguration, welche mehrere Nanodrähte 660 aufweist, wobei in dem Beispielfall das nicht aktive Material 632 nicht während des Nanodraht-Ausbildungsprozesses zwischen den einzelnen Nanodrähten entfernt wird, was unter Verwendung verschiedener herkömmlicher Technologien ausgeführt werden kann und vom Fachmann im Lichte der Offenbarung erkannt werden wird. Es wird somit ein Nanodraht 660 in einer Vertiefung des Substrates 600 bereitgestellt, wobei der andere Nanodraht 660 effektiv auf de Oberseite des Materials 632 aufgesetzt ist. Es ist festzuhalten, dass die Nanodrähte 660 durch den Kanal aktiv sind, wobei dies das Material 632 nicht ist. Die III-V-Materialschicht 641 wird um alle übrigen freigelegten Oberflächen des Nanodrahtes 660 herum bereitgestellt. Die entsprechenden Source/Drain-Bereiche 631 sind mit vertikaler Schraffierung dargestellt und können p-Typ- und/oder n-Typ-Source/Drain-Bereiche sein.
  • Beispielsysteme
  • Die 5 veranschaulicht ein Computersystem 1000, welches mit einer oder mit mehreren Transistorstrukturen, die gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung aufgebaut sind, umgesetzt ist. Wie zu erkennen ist, ist in dem Computersystem 1000 ein Motherboard 1002 enthalten. Das Motherboard 1002 kann eine Mehrzahl Komponenten umfassen, einschließlich jedoch nicht begrenzt auf einen Prozessor 1004 sowie zumindest einen Kommunikationschip 1006, von denen beide physikalisch und elektrisch mit dem Motherboard 1002 verbunden oder anderweitig in dieses integriert sein können. Es wird anerkannt werden, dass das Motherboard 1002 beispielsweise irgendeine gedruckte Leiterkarte sein kann, entweder ein Mainboard oder ein Daughterboard, welches auf einem Mainboard montiert ist oder das einzige Board des Systems 1000 ist, usw. Abhängig vom konkreten Anwendungsfall kann das Computersystem 1000 eine oder mehrere Komponenten umfassen, welche physikalisch oder elektrisch mit dem Motherboard 1002 verbunden sind. Diese weiteren Komponenten können einen flüchtigen Speicher (zum Beispiel DRAM), einen nichtflüchtigen Speicher (z.B. ROM), einen Grafikprozessor, einen digitalen Signalprozessor, einen Kryptoprozessor, ein Chipset, ein Display, ein Touchscreendisplay, einen Touchscreencontroller, eine Batterie, einen Audiocodec, einen Videocodec, einen Leistungsverstärker, ein Global-Positioning-System (auch GPS), einen Kompass, einen Beschleunigungsmesser, ein Gyroskop, einen Lautsprecher, eine Kamera und ein Gerät zur Massenspeicherung (etwa eine Festplatte, eine Compaktdisc (CD), eine Digital Versatile Disc (DVD), usw.), sie sind jedoch nicht auf diese beschränkt. Diese Transistorstrukturen können beispielsweise dazu verwendet werden, einen Onboard-Prozessor-Cache oder ein Speicherarray umzusetzen. Bei manchen Ausführungsformen können verschiedene Funktionen in einen oder in mehrere Chips intergiert sein (zum Beispiel ist festzustellen, dass der Kommunikationschip 1006 Teil des Prozessors 1004 oder anderweitig in diesen integriert sein kann).
  • Der Kommunikationschip 1006 ermöglicht die drahtlose Kommunikation für den Transfer von Daten zu und von dem Computersystem 1000. Der Ausdruck „drahtlos“ und seine Derivate können dazu verwendet werden, um Schaltkreise, Bauteile, Systeme, Verfahren, Technologien, Kommunikationskanäle, usw. zu beschreiben, welche Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch ein nichtfestes Medium hindurch zu kommunizieren. Dieser Ausdruck soll nicht implizieren, dass die damit verbundenen Vorrichtungen selbst keine Drähte enthalten, obwohl bei manchen Ausführungsformen dies der Fall sein kann. Der Kommunikationschip 1006 kann jeglichen Drahtlosstandard oder jegliches Drahtlosprotokoll verwenden, einschließlich, jedoch nicht beschränkt auf Wi-Fi (IEEE 802.11-Familie), WiMAX (IEEE 802.16 Familie), IEEE 802.20, Long-Term-Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, DCMA, TDMA, DECT, Bluetooth, Derivate dieser sowie jegliches anderes Drahtlosprotokoll, das als 3G, 4G, 5G und darüber hinaus ausgewiesen ist. Das Computersystem 1000 kann eine Vielzahl von Kommunikationschips 1006 umfassen. Beispielsweise kann ein erster Kommunikationschip 1006 für die Nahfeldkommunikation, wie WiFi und Bluetooth ausgelegt sein und ein zweiter Kommunikationschip 1006 kann für die Weitbereichsdrahtloskommunikation ausgelegt sein, wie GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, und andere.
  • Der Prozessor 1004 des Computersystems 1000 umfasst einen integrierten Schaltkreischip, welcher innerhalb des Prozessors 1004 verpackt ist. Bei manchen Ausführungsformen der vorliegenden Erfindung umfasst der integrierte Schaltkreischip einen Onboard-Speicherschaltkreis, der mit einer oder mit mehrere CMOS-Transistorstrukturen, wie hierin beschrieben, umgesetzt ist, der Ausdruck „Prozessor“ kann sich auf irgendein Bauteil oder einen Teil eines Bauteils beziehen, der beispielsweise elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, welche in Registern und/oder einem Speicher gespeichert werden können.
  • Der Kommunikationschip 1006 kann ebenso ein integrierter Schaltkreischip sein, welcher innerhalb des Kommunikationschips 106 verpackt ist. Gemäß manchen beispielhaften Ausführungsformen umfasst der integrierte Schaltkreischip des Kommunikationschips ein oder mehrere Bauteile, welche mit einer oder mit mehreren der hierin beschriebenen Transistorstrukturen umgesetzt ist (zum Beispiel ein On-Chip-Prozessor oder -Speicher). Wie es im Lichte der Offenbarung zu erkennen ist, kann eine Multi-Standard-Drahtlosfähigkeit direkt in den Prozessor 1004 integriert werden (zum Beispiel indem die Funktionalität irgendeines Chips 1006 in den Prozessor 1004 integriert wird, anstelle separate Kommunikationschips vorzusehen). Darüber hinaus kann der Prozessor 1004 ein Chipset aufweisen, welches eine derartige Drahtlosfähigkeit aufweist. Kurzum kann jede denkbare Anzahl von Prozessoren 1004 und/oder Kommunikationschips 1006 verwendet werden. Ebenso kann jeder Chip oder jeder Chipsatz mehrere integrierte Funktionen aufweisen.
  • Bei verschiedenen Ausführungsformen kann das Computersystem 1000 ein Laptop, ein Netbook, ein Notebook, ein Smartphone, ein Tablet, ein Personal Digital Assistant (PDA), ein Ultra Mobile PC, ein Mobiltelefon, ein Desktopcomupter, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungssteuerungseinheit, eine Digitalkamera, ein tragbares Musikabspielgerät oder ein digitaler Videorecorder sein. Bei anderen Ausführungsformen kann das System 1000 jedes andere elektronische Gerät sein, welches Daten verarbeitet oder Transistorbauteile der zuvor beschriebenen Art mit niedrigem Kontaktwiderstand erfordert (zum Beispiel CMOS-Bauteile, welche sowohl p- als auch n-Typ-Bauteile aufweisen).
  • Verschiedene Ausführungsformen sind denkbar und die hierin beschriebenen Merkmale können in jeder denkbaren Zusammensetzung kombiniert werden. Eine beispielhafte Ausführungsform der vorliegenden Erfindung bildet einen integrierten Halbleiterschaltkreis. Der integrierte Schaltkreis umfasst ein Substrat, welches eine Mehrzahl Kanalbereiche aufweist, sowie eine Gateelektrode über jedem Kanalbereich, wobei eine GateDielektrikumsschicht zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist. Der integrierte Schaltkreis umfasst weiterhin p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, und n-Typ-Source/Drain-Bereiche in dem Substrat sowie angrenzend an einen entsprechenden Kanalbereich. Der integrierte Schaltkreis umfasst weiterhin eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Typ-Source/Drain-Bereiche sowie einem Anteil der n-Typ-Source/Drain-Bereiche. Der integrierte Schaltkreis umfasst weiterhin einen Metallkontakt auf der III-V-Halbleitermaterialschicht. In machen Fällen ist die III-V-Halbleitermaterialschicht undotiert. In manchen Beispielfällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV auf. In anderen Beispielfällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,2 eV auf. In machen Fällen ist die III-V-Halbleitermaterialschicht dotiert. In machen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein Dopingschema auf, welches Dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist. In anderen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche auf. Die III-V-Halbleitermaterialschicht kann dotiert sein, beispielsweise mit einem oder mehreren amphoteren Dotanden (C, Si, Ge und/oder Sn). In einem solchen Fall ist die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden dotiert, mit einer Austauschkonzentration von 1∙1018 Atomen/cm3. Das Bauteil kann beispielsweise mit Hilfe einer planaren Transistorarchitektur oder mit einer nicht-planaren Transistorarchitektur umgesetzt sein. In einem solchen Fall weist die nicht-planare Transistorarchitektur zumindest einen FinFET-Transistor und/oder einen NanodrahtTransistor auf. In manchen Fällen weisen die p-Typ- und die n-Typ-Soure/Drain-Bereiche Silizium oder Germanium oder eine Legierung dieser auf. Eine andere Ausführungsform der vorliegenden Erfindung stellt ein elektronisches Bauteil bereit, welches eine bedruckte Leiterkarte umfasst, die einen oder mehrere integrierte Schaltkreise aufweist, die auf verschiedene Weise in diesem Absatz beschrieben worden sind. In einem derartigen Fall weist der andere oder weisen die mehreren integrierten Schaltkreise zumindest einen Kommunikationschip und/oder einen Prozessor auf. Das Gerät kann beispielsweise ein Computer sein.
  • Andere Ausführungsformen der vorliegenden Erfindung stellen ein Bauteil oder Gerät bereit, welches ein Silizium-enthaltendes Substrat aufweist, mit einer Mehrzahl von Kanalbereichen, sowie mit einer Gateelektrode über jedem Kanalbereich, wobei eine GateDielektrikumsschicht zwischen jeder Gateelektrode und/oder einem entsprechenden Kanalbereich bereitgestellt ist. Das Bauteil umfasst weiterhin p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die p-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen, und wobei n-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich vorliegen, wobei die n-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen. Das Bauteil oder Gerät umfasst weiterhin eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Typ-Source/Drain-Bereiche und auf einem Anteil der n-Typ-Source/Drain-Bereiche, sowie einen Metallkontakt auf der III-V-Halbleitermaterialschicht für jeden der p-Typ- und der n-Typ-Source/Drain-Bereiche. Gemäß einer besonderen beispielhaften Ausführungsform wird eine III-V-Materialabscheidung von InSb auf Si, einer SiGe-Legierung sowie Ge-Source/Drain-Bereichen mittels Simulation vorausberechnet, um der Leitfähigkeit eine möglichst geringe Barriere entgegenzusetzen. Andere geeignete III-V-Materialschichten werden sich im Lichte der Offenbarung dem Fachmann ergeben. In machen Fällen ist die III-V-Halbleitermaterialschicht undotiert. In machen Fällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV auf. In manchen Fällen ist die III-V-Halbleitermaterialschicht dotiert. In manchen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein Dotierungsschema auf, welches für die p-Typ- und die n-Typ-Source/Drain-Bereiche dasselbe ist. In anderen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und eine zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche auf. In manchen Fällen ist die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden wie Ge (z.B. mit einer Austauschkonzentration von mehr als 1∙1018 Atome/cm3) dotiert.
  • Eine andere Ausführungsform der vorliegenden Erfindung stellt ein Verfahren für die Ausbildung eines Halbleiterbauteils bereit. Das Verfahren umfasst das Bereitstellen eines Substrates, welches eine Mehrzahl von Kanalbereichen aufweist, sowie das Bereitstellen einer Gateelektrode oberhalb jedes Kanalbereiches, wobei eine Gatedielektrikumsschicht zwischen der Gateelektrode und einem entsprechendem Kanalbereich bereitgestellt wird. Das Verfahren umfasst weiterhin das Bereitstellen von p-Typ-Source/Drain-Bereichen, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, sowie das Bereitstellen von n-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich. Das Verfahren umfasst weiterhin das Bereitstellen einer III-V-Halbleitermaterialschicht auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche sowie einem Teil der n-Typ-Source/Drain-Bereiche. Das Verfahren umfasst weiterhin das Bereitstellen eines Metallkontaktes auf der III-V-Halbleitermaterialschicht.

Claims (20)

  1. Integrierter Halbleiterschaltkreis, der aufweist: ein Substrat (300), das eine Mehrzahl Kanalbereiche aufweist; eine Gateelektrode (304) oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht (302) zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist; p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; n-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; eine dotierte III-V-Halbleitermaterialschicht (317) auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche und auf einem Teil der n-Typ-Source/Drain-Bereiche, wobei die dotierte III-V-Halbleitermaterialschicht direkt über den Source/Drain-Bereichen ausgebildet ist, und wobei die Source/Drain-Bereiche dotiertes Silizium aufweisen; und einen Metallkontakt auf der dotierten III-V-Halbleitermaterialschicht.
  2. Integrierter Schaltkreis nach Anspruch 1, bei dem die dotierte III-V-Halbleitermaterialschicht (317) eine Bandlücke von weniger als 0,5 eV aufweist.
  3. Integrierter Schaltkreis nach einem der vorangegangenen Ansprüche, bei dem die dotierte III-V-Halbleitermaterialschicht (317) eine Bandlücke von weniger als 0,2 eV aufweist.
  4. Integrierter Schaltkreis nach Anspruch 1, bei dem die dotierte III-V-Halbleitermaterialschicht (317) ein Dotierungsschema aufweist, welches dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist.
  5. Integrierter Schaltkreis nach Anspruch 1, bei dem die dotierte III-V-Halbleitermaterialschicht (317) ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche aufweist.
  6. Integrierter Schaltkreis nach einem der Ansprüche 1 bis 5, bei dem die dotierte III-V-Halbeleitermaterialschicht (317) mit einem oder mit mehreren amphoteren Dotanden dotiert ist.
  7. Integrierter Schaltkreis nach Anspruch 6, bei dem die dotierte III-V-Halbleitermaterialschicht (317) mit einem oder mit mehreren amphoteren Dotanden mit einer Austauschkonzentration von mehr als 1∙1018 Atome/cm3 dotiert ist.
  8. Integrierter Schaltkreis, nach einem der vorangegangenen Ansprüche, bei dem das Bauteil mit einer planaren Transistorarchitektur ausgeführt ist.
  9. Integrierter Schaltkreis nach einem der vorangegangenen Ansprüche, bei dem das Bauteil mit einer nicht-planaren Transistorarchitektur ausgeführt ist.
  10. Integrierter Schaltkreis nach Anspruch 9, bei dem die nicht-planare Transistorarchitektur FinFET-Transistoren und/oder Nanodraht-Transistoren aufweist.
  11. Elektronisches Bauteil, das eine gedruckte Leiterkarte aufweist, die einen oder mehrere integrierte Schaltkreise gemäß einem der vorangegangenen Ansprüche aufweist.
  12. Elektrisches Bauteil nach Anspruch 11, bei dem der eine Schaltkreis oder die mehreren integrierten Schaltkreise zumindest einen Kommunikationschip (1006) und/oder einen Prozessor (1004) aufweisen.
  13. Elektronisches Bauteil nach Anspruch 11 oder 12, bei dem das Bauteil ein Computer ist (1000).
  14. Bauteil, das aufweist: ein Silizium enthaltendes Substrat (300), welches eine Mehrzahl Kanalbereiche aufweist; eine Gateelektrode (304) oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht (302) zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist; p-Typ-Source/Drain-Bereiche, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die p-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung aufweisen; n-Typ-Source/Drain-Bereiche, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die n-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen; eine dotierte III-V-Halbleitermaterialschicht (317) auf zumindest einem Anteil der p-Source/Drain-Bereiche und einem Anteil der n-Typ-Source/Drain-Bereiche, wobei die dotierte III-V-Halbleitermaterialschicht direkt über den Source/Drain Bereichen ausgebildet ist, und wobei die Source/Drain-Bereiche dotiertes Silizium aufweisen; und einen Metallkontakt auf der dotierten III-V-Halbleitermaterialschicht für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche.
  15. Bauteil nach Anspruch 14, bei dem die dotierte III-V-Halbleitermaterialschicht (317) ein Dotierungsschema aufweist, welches dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist.
  16. Bauteil nach Anspruch 14, bei dem die dotierte III-V-Halbleitermaterialschicht (317) ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche aufweist.
  17. Bauteil nach einem der Ansprüche 14 bis 16, bei dem die dotierte III-V-Halbleitermaterialschicht (317) mit einem oder mit mehreren amphoteren Dotanden dotiert ist.
  18. Bauteil nach Anspruch 17, bei dem die dotierte III-V-Halbleitermaterialschicht (317) mit einem oder mit mehreren amphoteren Dotanden mit einer Austauschkonzentration von mehr als 1∙1018 Atome/cm3 dotiert ist.
  19. Bauteil nach einem der Ansprüche 14 bis 18, bei dem die dotierte III-V-Halbleitermaterialschicht (317) eine Bandlücke von weniger als 0,5 eV aufweist.
  20. Verfahren für die Ausbildung eines Halbleiterbauteils, das aufweist: Bereitstellen eines Substrates (300), welches eine Mehrzahl Kanalbereiche aufweist; Bereitstellen einer Gateelektrode (304) oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht (302) zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereit gestellt wird; Bereitstellen von p-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; Bereitstellen von n-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; Bereitstellen einer dotierten III-V-Halbleiteimaterialschicht (317) auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche und einem Teil der n-Typ-Source/Drain-Bereiche, wobei die dotierte III-V-Halbleitermaterialschicht selektiv abgeschieden wird, bevor eine Metallkontaktabscheidung erfolgt, und wobei die dotierte III-V-Halbleitermaterialschicht direkt über den Source/Drain Bereichen ausgebildet ist, und wobei die Source/Drain-Bereiche dotiertes Silizium aufweisen; und Bereitstellen eines Metallkontaktes auf der dotierten III-V-Halbleitermaterialschicht.
DE112011105972.8T 2011-12-20 2011-12-20 III-V Schichten für N-Typ- und P-Typ-MOS-Source/Drain-Kontakte Active DE112011105972B4 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/066132 WO2013095375A1 (en) 2011-12-20 2011-12-20 Iii-v layers for n-type and p-type mos source-drain contacts

Publications (2)

Publication Number Publication Date
DE112011105972T5 DE112011105972T5 (de) 2014-09-25
DE112011105972B4 true DE112011105972B4 (de) 2023-05-25

Family

ID=48669033

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011105972.8T Active DE112011105972B4 (de) 2011-12-20 2011-12-20 III-V Schichten für N-Typ- und P-Typ-MOS-Source/Drain-Kontakte

Country Status (5)

Country Link
US (3) US9153583B2 (de)
KR (3) KR20140097464A (de)
DE (1) DE112011105972B4 (de)
TW (1) TWI567987B (de)
WO (1) WO2013095375A1 (de)

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013095375A1 (en) 2011-12-20 2013-06-27 Intel Corporation Iii-v layers for n-type and p-type mos source-drain contacts
US8896066B2 (en) 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
US9653559B2 (en) * 2011-12-27 2017-05-16 Intel Corporation Methods to enhance doping concentration in near-surface layers of semiconductors and methods of making same
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9105707B2 (en) * 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9281401B2 (en) 2013-12-20 2016-03-08 Intel Corporation Techniques and configurations to reduce transistor gate short defects
US9530776B2 (en) * 2014-01-17 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET semiconductor device with germanium diffusion over silicon fins
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
KR102220590B1 (ko) * 2014-02-21 2021-03-03 삼성전자주식회사 컨택을 포함하는 집적 회로 장치 및 이의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9666708B2 (en) * 2014-03-26 2017-05-30 Intel Corporation III-N transistors with enhanced breakdown voltage
US9947772B2 (en) * 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
US9299781B2 (en) * 2014-04-01 2016-03-29 Globalfoundries Inc. Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material
US9171934B2 (en) 2014-04-01 2015-10-27 Globalfoundries Inc. Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US9472628B2 (en) 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI575748B (zh) * 2014-09-01 2017-03-21 聯華電子股份有限公司 P型場效電晶體及包含該p型場效電晶體的互補式金屬氧化半導體電晶體
WO2016043748A1 (en) 2014-09-18 2016-03-24 Intel Corporation Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon cmos-compatible semiconductor devices
KR102311937B1 (ko) * 2014-09-23 2021-10-14 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
KR102203497B1 (ko) 2014-09-25 2021-01-15 인텔 코포레이션 독립형 실리콘 메사들 상의 iii-n 에피택셜 디바이스 구조체들
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10573647B2 (en) 2014-11-18 2020-02-25 Intel Corporation CMOS circuits using n-channel and p-channel gallium nitride transistors
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10056456B2 (en) 2014-12-18 2018-08-21 Intel Corporation N-channel gallium nitride transistors
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102318743B1 (ko) 2014-12-23 2021-10-28 인텔 코포레이션 비평면 반도체 디바이스의 서브핀에 사용하기 위한 iii-v족 반도체 합금 및 그 형성 방법
US20170345900A1 (en) * 2014-12-23 2017-11-30 Intel Corporation Diffusion tolerant iii-v semiconductor heterostructures and devices including the same
US9502567B2 (en) * 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9418846B1 (en) * 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016186654A1 (en) 2015-05-19 2016-11-24 Intel Corporation Semiconductor devices with raised doped crystalline structures
US9543216B2 (en) * 2015-06-05 2017-01-10 Globalfoundries Inc. Integration of hybrid germanium and group III-V contact epilayer in CMOS
WO2016209283A1 (en) 2015-06-26 2016-12-29 Intel Corporation Heteroepitaxial structures with high temperature stable substrate interface material
WO2016209284A1 (en) 2015-06-26 2016-12-29 Intel Corporation High-mobility semiconductor source/drain spacer
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10546858B2 (en) 2015-06-27 2020-01-28 Intel Corporation Low damage self-aligned amphoteric FINFET tip doping
EP3314667A4 (de) * 2015-06-27 2019-02-27 INTEL Corporation Dotierung einer selbstausgerichteten amphoteren finfet-spitze mit geringer schädigung
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) * 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
WO2017111869A1 (en) 2015-12-24 2017-06-29 Intel Corporation Transition metal dichalcogenides (tmdcs) over iii-nitride heteroepitaxial layers
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102481427B1 (ko) 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9905663B2 (en) * 2016-06-24 2018-02-27 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9824921B1 (en) * 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10332986B2 (en) * 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9653464B1 (en) * 2016-09-14 2017-05-16 International Business Machines Corporation Asymmetric band gap junctions in narrow band gap MOSFET
KR102549340B1 (ko) 2016-09-27 2023-06-28 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10147719B2 (en) * 2016-11-17 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor field effect transistors and manufacturing method thereof
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180060328A (ko) * 2016-11-28 2018-06-07 삼성전자주식회사 멀티 모달 입력을 처리하는 전자 장치, 멀티 모달 입력을 처리하는 방법 및 멀티 모달 입력을 처리하는 서버
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20200161440A1 (en) * 2017-06-30 2020-05-21 Intel Corporation Metal to source/drain contact area using thin nucleation layer and sacrificial epitaxial film
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10453753B2 (en) * 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019066953A1 (en) 2017-09-29 2019-04-04 Intel Corporation REDUCED CONTACT RESISTANCE GROUP III (N-N) NITRIDE DEVICES AND METHODS OF MAKING SAME
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
DE112017008272T5 (de) * 2017-12-15 2020-08-27 Intel Corporation Reduzieren von Aus-Zustand-Lecken in Halbleiterbauelementen
WO2019132858A1 (en) * 2017-12-26 2019-07-04 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nmos transistors
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10840052B2 (en) * 2018-06-22 2020-11-17 International Business Machines Corporation Planar gate-insulated vacuum channel transistor
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11410890B2 (en) * 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020060751A1 (en) 2018-09-18 2020-03-26 Applied Materials, Inc. In-situ integrated chambers
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20240063193A (ko) 2019-02-08 2024-05-09 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스, 반도체 디바이스를 제조하는 방법, 및 프로세싱 시스템
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111613666B (zh) * 2020-06-04 2023-04-18 英诺赛科(珠海)科技有限公司 半导体组件及其制造方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080124878A1 (en) 2006-11-28 2008-05-29 Cook Ted E Multi-component strain-inducing semiconductor regions
US20080203432A1 (en) 2007-02-23 2008-08-28 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
US20110068407A1 (en) 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with Metal Gates and Stressors
US20110121393A1 (en) 2005-02-23 2011-05-26 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100475084B1 (ko) * 2002-08-02 2005-03-10 삼성전자주식회사 Dram 반도체 소자 및 그 제조방법
US20050266654A1 (en) * 2004-05-27 2005-12-01 Hattendorf Michael L Barrier to amorphization implant
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7560780B2 (en) 2005-12-08 2009-07-14 Intel Corporation Active region spacer for semiconductor devices and method to form the same
DE102006030261B4 (de) 2006-06-30 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit reduzierter Bordiffusion und Transistor
US7864120B2 (en) 2007-05-31 2011-01-04 Palm, Inc. High isolation antenna design for reducing frequency coexistence interference
US10236032B2 (en) 2008-09-18 2019-03-19 Novachips Canada Inc. Mass data storage system with non-volatile memory modules
KR101669470B1 (ko) 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
US20120305891A1 (en) * 2011-06-03 2012-12-06 Nayfeh Osama M Graphene channel transistors and method for producing same
US8823011B2 (en) * 2011-08-17 2014-09-02 Bae Systems Information And Electronic Systems Integration Inc. High linearity bandgap engineered transistor
US8420459B1 (en) * 2011-10-20 2013-04-16 International Business Machines Corporation Bulk fin-field effect transistors with well defined isolation
WO2013095375A1 (en) 2011-12-20 2013-06-27 Intel Corporation Iii-v layers for n-type and p-type mos source-drain contacts
US8896066B2 (en) 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
US9059024B2 (en) 2011-12-20 2015-06-16 Intel Corporation Self-aligned contact metallization for reduced contact resistance
US20130299895A1 (en) 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110121393A1 (en) 2005-02-23 2011-05-26 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20080124878A1 (en) 2006-11-28 2008-05-29 Cook Ted E Multi-component strain-inducing semiconductor regions
US20080203432A1 (en) 2007-02-23 2008-08-28 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
US20110068407A1 (en) 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with Metal Gates and Stressors

Also Published As

Publication number Publication date
US20160027781A1 (en) 2016-01-28
US20130285155A1 (en) 2013-10-31
US9705000B2 (en) 2017-07-11
TW201342612A (zh) 2013-10-16
KR20140097464A (ko) 2014-08-06
KR101790605B1 (ko) 2017-10-27
KR20160045923A (ko) 2016-04-27
US9397102B2 (en) 2016-07-19
KR20170120208A (ko) 2017-10-30
US9153583B2 (en) 2015-10-06
TWI567987B (zh) 2017-01-21
WO2013095375A1 (en) 2013-06-27
DE112011105972T5 (de) 2014-09-25
US20160329431A1 (en) 2016-11-10
KR101891458B1 (ko) 2018-08-24

Similar Documents

Publication Publication Date Title
DE112011105972B4 (de) III-V Schichten für N-Typ- und P-Typ-MOS-Source/Drain-Kontakte
DE102020103710A1 (de) Rückseitenkontakte für Halbleitervorrichtungen
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE112011105970B4 (de) CMOS-Implementierung aus Germanium und lll-V-Nanodrähten und -Nanobändern in Gate-Rundum-Architektur
DE102017122830B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE112013007031B4 (de) Auf selektiv epitaktisch gezüchteten III-V-Materialien basierende Vorrichtungen
DE102019121928A1 (de) Gestapelte nanodrahttransistorstruktur mit unterschiedlichen kanalgeometrien zur belastung
DE112016007299T5 (de) Rückseiten-source/drain-austausch für halbleiterbauelemente mit metallisierung auf beiden seiten
DE102019116859A1 (de) Verfahren zum herstellen einer halbleitervorrichtung, und eine halbleitervorrichtung
DE112013007039B4 (de) Das Integrieren VLSI-kompatibler Rippen-Strukturen mit selektivem Epitaxialwachstum und das Fertigen von Vorrichtungen darauf
DE112013005625T5 (de) Umsetzung von dünnen Transistorelementen von Silicium zu Silicium-Germanium
DE112018002294B4 (de) Transistoren mit vertikalem transport mit gleichen gate-stapeldicken und zugehöriges herstellungsverfahren
DE112016006691T5 (de) Transistor-Gate-Grabenbeartbeitung, um Kapazität und Widerstand zu verringern
DE112017007838T5 (de) Transistoren mit kanal- und unterkanalregionen mit unterschiedlichen zusammensetzungen und abmessungen
DE112020000212B4 (de) Verfahren zur herstellung eines transistorkanals mit vertikal gestapelten nanoschichten, die durch finnenförmige brückenzonen verbunden sind
DE112017008046T5 (de) Pmos- und nmos-kontakte in einem gemeinsamen trench
DE112017008312T5 (de) Heterogene ge/iii-v-cmos-transistorstrukturen
DE112020005273B4 (de) Verfahren zum Bilden eines Nanosheet-Transistors mit selbstausgerichteter dielektrischer Säule
DE102020134411A1 (de) Integrierte gate-all-around-strukturen mit germaniumdotierten nanoband-kanalstrukturen
DE112015007222T5 (de) Transistor mit einem zugbelasteten Germanium Kanal
DE102019122644A1 (de) Integrierte gate-all-around-schaltungsstrkturen mit dezimierten kanalstrukturen unter verwendung eines von unten nach oben wirkenden ansatzes
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE112017007849T5 (de) Dotierte isolatorkappe zum reduzieren der source/drain-diffusion für germanium-nmos-transistoren
DE102019209318B4 (de) Halbleitervorrichtung mit integrierter Einzeldiffusionsunterbrechung und Herstellungsverfahren hierfür
US11004954B2 (en) Epitaxial buffer to reduce sub-channel leakage in MOS transistors

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029780000

Ipc: H01L0029410000

R081 Change of applicant/patentee

Owner name: GOOGLE LLC, MOUNTAIN VIEW, US

Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US

R082 Change of representative

Representative=s name: BETTEN & RESCH PATENT- UND RECHTSANWAELTE PART, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final