JP2004127957A - 半導体装置の製造方法と半導体装置 - Google Patents

半導体装置の製造方法と半導体装置 Download PDF

Info

Publication number
JP2004127957A
JP2004127957A JP2002285372A JP2002285372A JP2004127957A JP 2004127957 A JP2004127957 A JP 2004127957A JP 2002285372 A JP2002285372 A JP 2002285372A JP 2002285372 A JP2002285372 A JP 2002285372A JP 2004127957 A JP2004127957 A JP 2004127957A
Authority
JP
Japan
Prior art keywords
gate electrode
silicon substrate
semiconductor device
insulating film
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002285372A
Other languages
English (en)
Inventor
Hiroyuki Ota
大田 裕之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002285372A priority Critical patent/JP2004127957A/ja
Priority to CNA031587569A priority patent/CN1497708A/zh
Priority to US10/668,211 priority patent/US20040063289A1/en
Priority to TW092126399A priority patent/TW200406880A/zh
Priority to KR1020030067357A priority patent/KR20040028579A/ko
Publication of JP2004127957A publication Critical patent/JP2004127957A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】ドレイン電流を増大することが可能でリーク電流の増加は低減できる、半導体装置と半導体装置の製造方法を提供する。
【解決手段】半導体装置の製造方法は、(a)活性領域の各々を横断するゲート電極を形成し、ゲート電極の両側の活性領域内にソース/ドレインのエクステンション領域を形成する工程と、(b)エッチング特性の異なる第1および第2の絶縁膜を堆積し、異方性エッチングを行なってゲート電極側壁上にサイドウォールスペーサを形成する工程と、(c)第1の絶縁膜に対して選択的エッチングを行ない、引込部を形成する工程と、(d)イオン注入により、シリコン基板にソース/ドレイン領域を形成する工程と、(e)シリサイド化可能な金属を堆積し、シリサイド化反応を生じさせてシリサイド領域を形成する工程と、を含む。
【選択図】 図5

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置と半導体装置の製造方法に関し、特に微細化されたMOSトランジスタを有する、半導体装置と半導体装置の製造方法に関する。
【0002】
【従来の技術】
大規模集積回路(LSI)において、MOSトランジスタはさらに微細化される。一般的なMOSトランジスタは、ゲート電極側壁上にサイドウォールスペーサを有し、サイドウォールスペーサ下方にソース/ドレインのエクステンション領域、その外側により高濃度のソース/ドレイン領域を有する。サイドウォールスペーサには、一般的にある程度以上の厚さが要求される。
【0003】
ゲート長の短縮化と共にソース/ドレイン領域の接合深さは浅くなる。トランジスタのオフ時に流れる電流を低減するため、ソース/ドレインのエクステンション領域下方又はエクステンション領域を取り囲んで逆導電型のポケット領域が形成されるようになった。
【0004】
微細化が進むと、相対的にソース・ドレイン間抵抗が高くなり、ドレイン電流が取り難くなる傾向がある。ドレイン電流を増大させるために、ソース/ドレインの抵抗を低減することが望まれる。ソース/ドレイン領域上にシリサイド領域を形成すると、シリコンより低抵抗のシリサイドの作用によりソース/ドレインの抵抗を低減することが可能である。
【0005】
しかし、シリサイド領域は接合リーク電流の原因ともなる。例えばCoシリサイドは、作り方によってスパイクを形成し、点状にも分布したリーク電流源を作り得る。
【0006】
【発明が解決しようとする課題】
MOSトランジスタの微細化と共に、MOSトランジスタの特性の向上が制限され、新たな課題が生じるようになった。
【0007】
本発明の目的は、MOSトランジスタの特性を向上することが可能な新たな構成を有する、半導体装置と半導体装置の製造方法を提供することである。
本発明の他の目的は、ドレイン電流を増大することが可能でリーク電流の増加は低減できる、半導体装置と半導体装置の製造方法を提供することである。
【0008】
本発明のさらに他の目的は、マスク枚数を増加することなくMOSトランジスタの特性を向上することが可能な、半導体装置の製造方法と半導体装置を提供することである。
【0009】
【課題を解決するための手段】
本発明の1観点によれば、(a)シリコン基板に画定された複数の活性領域の各々を横断するゲート電極を形成し、ゲート電極の両側の活性領域内にソース/ドレインのエクステンション領域を形成する工程と、(b)前記ゲート電極の側壁を覆って、前記シリコン基板上に、エッチング特性の異なる第1および第2の絶縁膜を堆積し、異方性エッチングを行なって前記各ゲート電極側壁上にサイドウォールスペーサを形成する工程と、(c)前記サイドウォールスペーサの第1の絶縁膜に対して選択的エッチングを行ない、前記第2の絶縁膜表面より引き込んだ引込部をゲート電極側およびシリコン基板側に形成する工程と、(d)前記サイドウォールスペーサをマスクとしたイオン注入により、シリコン基板にソース/ドレイン領域を形成する工程と、(e)シリサイド化可能な金属を前記半導体基板上に堆積し、シリサイド化反応を生じさせてシリサイド領域を形成する工程と、を含む半導体装置の製造方法が提供される。
【0010】
本発明の他の観点によれば、複数の活性領域を有するシリコン基板と、前記各活性領域を横断してシリコン基板上に形成された絶縁ゲート電極と、前記絶縁ゲート電極側壁上に形成され、エッチング特性の異なる第1および第2の絶縁膜の積層で形成され、第1の絶縁膜の端面が第2の絶縁膜表面から引き込んだ引込部を有するサイドウォールスペーサと、前記引込部下のシリコン基板表面に形成されたシリサイド領域と、その外側のシリコン基板表面に形成され、より厚さの大きいシリサイド領域と、を有する半導体装置が提供される。
【0011】
【発明の実施の形態】
以下、図面を参照して本発明の実施例を説明する。
図1(A)〜図6(W)は、本発明の第1の実施例による半導体装置の製造方法を示す。
【0012】
図1(A)に示すように、例えばp型のシリコン基板1の表面上に、熱酸化により例えば厚さ10nmバッファシリコン酸化膜2を形成し、その上に化学気相堆積(CVD)により例えば厚さ100〜150nm窒化シリコン膜3を堆積する。窒化シリコン膜3の上に、ホトレジスト層を塗布し、露光現像して素子分離領域形成用のレジストパターン4を形成する。レジストパターン4は、素子分離領域に対応する領域に開口5aを有する。
【0013】
図1(B)に示すように、レジストパターン4をマスクとして、窒化シリコン膜3、酸化シリコン膜2をエッチングし、さらにシリコン基板1をエッチングして例えば深さ500nmのトレンチ6を形成する。なお、窒化シリコン膜、酸化シリコン膜のエッチングは、CF,CHF,Arの混合ガスをエッチングガスとして用いる。シリコン基板のエッチングはHBr、Oの混合ガスをエッチングガスとして用いる。その後、レジストパターン4は、除去する。
【0014】
図1(C)に示すように、トレンチ6に露出したシリコン基板1表面上に、熱酸化により例えば厚さ10nmの酸化シリコン膜7を形成する。
図1(D)に示すように、酸化シリコン膜7が形成されたトレンチ6を埋め込むように、例えば高密度プラズマ(HDP)CVDにより、酸化シリコン膜9を例えば厚さ500nm堆積する。酸化シリコン膜9は、下地表面の凹凸に従い、凹凸のある表面を形成する。
【0015】
図2(E)に示すように、化学機械研磨(CMP)により、表面の酸化シリコン膜9を研磨し、平坦な表面を形成する。CMPは、窒化シリコン膜3で停止させる。その後、窒素(N)雰囲気中1000℃でアニールを行ない、埋め込み酸化シリコン膜9の緻密化を行なう。
【0016】
図2(F)に示すように、トレンチ形成用のマスクとして用いた窒化シリコン膜3を熱燐酸でウエットエッチングして除去する。
図2(G)に示すように、レジストパターンを用いてp型イオン注入、n型イオン注入をそれぞれ行い、活性領域にp型ウエル10p及びn型ウエル10nを形成する。
【0017】
表面上の酸化シリコン膜を除去し、新たに熱酸化によりゲート絶縁膜として酸化シリコン膜11を例えば厚さ2nm形成する。
図2(H)に示すように、ゲート絶縁膜11の上に、例えば600℃程度の温度で、低圧(LP)CVDにより、多結晶シリコン層12を例えば厚さ100nm形成する。なお、多結晶シリコン膜12は、ノンドープシリコン膜でも、不純物をドープしたシリコン膜でも良い。不純物をドープしたシリコン膜の場合は、nチャネルMOSトランジスタを形成する領域にはリン(P)をドープし、pチャネルMOSトランジスタを形成する領域はボロン(B〉をドープする。
【0018】
図3(I)に示すように、多結晶シリコン層12の上に、ホトレジスト層を塗布し、露光現像してレジストパターン13を形成する。レジストパターン13は、ゲート電極の形状に対応した形状を有する。レジストパターン13をマスクとし、多結晶シリコン層12をエッチングする。異方性エッチングにより多結晶シリコン層12をゲート電極形状にパターニングした後、レジストパターン13を除去する。
【0019】
図3(J)は、形成されたゲート電極12の形状を示す。
図3(K)に示すように、シリコン基板表面上にホトレジスト層を塗布し、露光現像してpチャネルMOSトランジスタ領域を覆うレジストパターン14を形成する。露出したnチャネルMOSトランジスタ領域にp型不純物、例えばBをイオン注入し、ポケット領域16pを形成し、n型不純物をポケット領域よりも高濃度にイオン注入し、ソース/ドレインのエクステンション領域15nを形成する。なお、エクステンション領域とポケット領域のイオン注入の順序は任意でよい。このようにして、nチャネルMOSトランジスタのエクステンション領域とそれを取り囲むポケット領域が形成される。その後レジストパターン14は除去する。
【0020】
図3(L)に示すように、nチャネルMOSトランジスタ領域を覆うレジストパターン17を形成する。露出したpチャネルMOSトランジスタ領域に対し、p型不純物、n型不純物のイオン注入を行ない、エクステンション領域15p、ポケット領域16nを形成する。その後レジストパターン17は除去する。なお、図1(A)〜3(L)の工程は、公知の工程であり、同様の構成を作成する他の公知の方法を用いてもよい。
【0021】
図4(M)に示すように、シリコン基板表面上に、低圧(LP)CVDにより、例えば厚さ10nmの酸化シリコン膜を、テトラエトキシシラン(TEOS)、Oガスをソースガスとして基板温度600℃で反応させ、堆積させる。堆積したシリコン酸化膜18の上に、LPCVDにより、例えば厚さ90nmの窒化シリコン膜19を、SiCl、NHガスをソースガスとし、基板温度600℃程度の温度で反応させ、堆積させる。
【0022】
Siのソースガスとしては、ジクロルシラン(SiCl2)の代りに、シラン(SiH)、ビスターシャルブチルアミノシラン(BTBAS)等を用いても良い。なお、1層目の酸化シリコン膜18、2層目の窒化シリコン膜19の厚さは上述の例に限らない。例えば、厚さ約20nmの酸化シリコン膜18を堆積し、その上に厚さ80nmの窒化シリコン膜19を堆積してもよい。
【0023】
図4(N)に示すように、積層した絶縁膜に対し、リアクティブイオンエッチング(RIE)によりドライエッチングを行い、ゲート電極12側壁上にのみ絶縁膜積層を残す。ゲート電極12の側壁上に、厚さ100nmのサイドウォールスペーサ20が形成される。サイドウォールスペーサ20は、酸化シリコン膜18、窒化シリコン19のように、エッチング特性の異なる絶縁膜の積層で形成されている。
【0024】
図4(O)に示すように、絶縁膜積層で形成されたサイドウオールスペーサ20に対し、下側の絶縁膜をエッチングするウエットエッチングを行なう。例えば、HF:HO=1:200の希フッ酸水溶液を用い、約175秒のエッチングを行うことにより、30nmのサイドエッチングを行なう。なお、エッチング深さはエッチング時間を制御することによって制御できる。例えば、110秒のエッチングを行なえば、約20nmのサイドエッチングが行われる。
【0025】
なお、サイドウォールスペーサ20の側面に露出した酸化シリコン膜18がエッチングされると共に、サイドウォールスペーサ20の上面に露出した酸化シリコン膜18も同様にエッチングされる。このようにして、側部下方及び上方に引込部29を有するサイドウォールスペーサが形成される。効果的な引込部を形成するために、酸化シリコン膜18は、少なくとも10nm以上エッチングすることが好ましい。なお、過度にサイドエッチングを行なうと、サイドウォールスペーサの機能自体が損なわれる可能性がある。このため、サイドエッチングはサイドウォールスペーサの厚さの0.4倍程度までとすることが好ましい。又、サイドウォールスペーサ20の幅は、30nm以上とすることが好ましい。
【0026】
図4(P)に示すように、pチャネルMOSトランジスタ領域を覆うレジストパターン21を形成し、露出したnチャネルMOSトランジスタ領域に対し、リン(P)、砒素(As)等のn型不純物をイオン注入し、n型拡散層22を形成する。なお、基板法線から例えば30度傾いた斜めイオン注入を行ない、ソース/ドレイン領域22をサイドウォールスペーサよりもゲート電極に近づけて形成してもよい。その後、レジストパターン21は除去する。
【0027】
図5(Q)に示すように、新たにnチャネルMOSトランジスタ領域を覆うレジストパターン23を形成し、pチャネルMOSトランジスタ領域を露出する。p型不純物としてボロン(B〉や弗化ボロン(BF)をイオン注入し、p型ソース/ドレイン領域24を形成する。p型不純物のBは、n型不純物のPやAsよりも拡散しやすい性質を有する。n型不純物を斜めイオン注入しても、p型不純物Bは垂直方向にイオン注入する。その後、レジストパターン23は除去する。
【0028】
図5(R)に示すように、例えば1000℃で10秒間活性化アニールを行うことにより、不純物注入領域の不純物を活性化する。
図5(S)に示すように、不純物注入領域を形成したシリコン基板に対し、シリサイド化可能な金属、例えばコバルト(Co)を堆積する。例えばCoターゲットを用い、250W程度のDCバイアスを印加したスパッタリングを行って、厚さ約5nmのCo膜30をスパッタリングする。この時、スキャッタリング等により、引込部29内にも薄いCo膜30xが堆積する。次にTiNターゲットを用い、9000W程度のDCバイアスを印加し、TIN膜を厚さ約30nm堆積する。
【0029】
図5(T)に示すように、窒素雰囲気中で例えば500℃程度、30秒間の低温アニーリングを行ない、Coの一次シリサイド化反応を生じさせる。未反応のシリサイド化可能金属を例えば過酸化アンモニアと過硫酸との混合液により除去する。次に、例えば700℃程度の高温アニーリングを窒素雰囲気中で約30秒間行い、2次シリサイド化反応を行わせる。このようにして、低抵抗のシリサイド層25を形成する。なお、コバルトシリサイドの代りにニッケルシリサイドを形成しても良い。このようにして、シリコン基板1の露出したシリコン表面及びゲート電極12の露出した多結晶シリコン層表面にシリサイド領域25、25gが形成される。
【0030】
図6(U)は、シリサイド化工程の詳細を示す。サイドウォールスペーサ20は、下層の酸化シリコン層18と、上層の窒化シリコン層19の積層で形成され、下層の酸化シリコン層18はサイドエッチングされて引込部29が形成されている。このようなゲート電極構造に対し、Coのスパッタリングを行うと、斜め方向から飛来するCoは、サイドウォールスペーサ20に形成された引込部29内部にも入り込む。また、基板表面でスキャッタリング等されたCoも引込部29に入り込む。このようにして、引込部内にもCo膜30xが堆積する。
【0031】
但し、引込部29内部に堆積されるコバルトの量は露出した表面に堆積されるコバルトの量に較べて少ない。その後、アニーリングを行うと、シリサイド化反応が生じ、シリサイド領域25が形成される。引込部下面にも侵入したコバルトに対応して浅いシリサイド領域25xが形成される。シリサイド領域25xが形成されることにより、エクステンション領域15の抵抗が低減する。
【0032】
なお、第1絶縁層18の厚さにより、引込部に入り込むシリサイド化可能金属の量は変化する。酸化シリコン層18を厚さ20nm程度とすると、引込部にもかなりの量のコバルトが入り込み、シリサイド領域25xが形成される。酸化シリコン層18の厚さを薄くすると、入り込むコバルトの量は減少する。なお、コバルトの代りにニッケルを用いても、ほぼ同様の特性が実現できる。
【0033】
図6(V)に示すように、例えば窒化シリコン膜で形成された絶縁層27をCVDにより基板表面に堆積する。窒化シリコン膜27は、引込部にも十分入り込み、引込部を埋め込む。さらに、基板表面上に酸化シリコン等の絶縁層28を堆積する。絶縁像28は、ゲート電極を埋め込んで層間絶縁膜を形成する。なお、層間絶縁膜として公知の種々の構成を採用しても良い。
【0034】
図6(W)は、上述の実施例に従って作成したサンプルの断面を撮像したSEM顕微鏡写真を示す。サイドウォールスペーサの下層絶縁膜は厚さ約20nmの酸化シリコン層で形成し、第2絶縁層は厚さ約80nmの窒化シリコン層で形成した。基板表面及びゲート電極の上方に白く見える領域はシリサイド領域である。基板表面のシリサイド領域は、引込部下方の浅い領域と、サイドウォールスペーサよりも外側の厚い領域とにより構成されている。
【0035】
引込部下方のシリサイド領域が浅く形成されることにより、エクステンション領域の抵抗が低減され、かつリーク電流が増大することが防止される。サイドウォールスペーサ外側の厚いシリサイド領域は、ソース/ドレイン領域の抵抗を効率的に低減させる。
【0036】
又、ゲート電極は、上方からシリサイド反応を受けるのみならず、引込部に露出した側面からもシリサイド化反応を受け、厚いシリサイド領域が形成される。このように厚いシリサイド領域が形成されることにより、ゲート電極の抵抗は効率的に低減する。
【0037】
図6(X)は、図6(W)に示すサンプルの特性を示す。なお、比較のため引込部のないサンプルも形成し、同様に特性を測定した。図中、横軸は、オン時のドレイン電流を示し、縦軸はオフ時のドレイン電流を示す。
【0038】
#06の曲線が引込部を形成しなかったサンプルの特性を示し、#07の曲線が引込部を形成したサンプルの特性を示す。図から明らかなように同一オフ電流に対するオン電流が向上し、ドレイン電流が増大することが分かる。
【0039】
このように、第1の実施例によれば、サイドウォールスペーサをエッチング特性の異なる複数の絶縁層の積層で形成し、下層の絶縁層をサイドエッチングすることにより、サイドウォールスペーサに入り込んだ引込部で基板表面が露出する。コバルト膜をスパッタリングすると、引込部の基板表面上にも薄くコバルト膜が形成される。コバルト膜のシリサイド化反応を行わせると、サイドウォールスペーサ外に厚いシリサイド層、引込部下方に薄いシリサイド層が形成される。
【0040】
シリサイド層が形成されることにより、ソース/ドレインのエクステンションの抵抗を低減することができる。エクステンション上のシリサイド層は薄くなるので、リーク電流の増加を低減できる。
【0041】
図7(A)〜図8(H)は、本発明の第2の実施例による半導体装置の製造方法を示す断面図である。
図7(A)は、図1(A)〜図4(M)の工程を経た半導体基板の構造を示す。すなわち、図4(O)の構造と同様である。ゲート電極12n、12pの側壁上に、酸化シリコン層18、窒化シリコン層19の積層からなるサイドウォールスペーサ20が形成され、第1層の酸化シリコン層18が約30nmサイドエッチングされた状態を示している。なお、サイドウォールスペーサの側面からのサイドエッチングと共に、サイドウォールスペーサ上面からのエッチングも進み、サイドウォールスペーサ側方と上方に引込部29が形成されている。
【0042】
図7(B)に示すように、pチャネルMOSトランジスタ領域をレジストパターン21で覆い、nチャネルMOSトランジスタ領域にn型不純物、例えば燐(P)や砒素(As)を基板法線方向より30度傾いた方向から斜めイオン注入する。なお、斜めイオン注入は、例えば基板面内で対称な4方向より行なう。サイドウォールスペーサ20の第1層18がサイドエッチングされているため、引込部に斜めイオン注入されたn型不純物は引込部下方に効率的に注入される。このため、形成される高濃度領域22o間の距離が短くなる。
【0043】
図7(C)に示すように、さらに同一のレジストパターンをマスクとし、n型不純物、例えば燐(P)や、砒素〈As〉を基板法線方向からイオン注入する。サイドウォールスペーサ20側方に、さらに高濃度領域22nが形成される。なお、ソース/ドレイン抵抗を低減するために、斜めイオン注入と垂直イオン注入とを重ねて行なう場合を説明したが、斜めイオン注入のみによりソース/ドレイン領域を形成してもよい。その後レジストパターン21は除去する。
【0044】
図7(D)に示すように、nチャネルMOSトランジタ領域を覆うレジストパターン23を形成する。露出しているpチャネルMOSトランジスタ領域に対し、基板法線方向からp型不純物、例えばボロン(B)や弗化ボロン(BF)をイオン注入し、pチャネルMOSトランジスタのソース/ドレイン領域24を形成する。その後レジストパターン23は除去する。
【0045】
図8(E)に示すように、イオン注入を終了した半導体基板に対し、例えば1000℃、10秒間の活性化アニールを行い、イオン注入された不純物を電気的に活性化する。
【0046】
図8(F)に示すように、コバルトターゲットを用い、基板表面にコバルト層30をスパッタリングする。スパッタリングされたコバルトは、サイドウォールスペーサ側方の引込部内にも入り込んで薄いコバルト層30を形成する。コバルト層は、例えば平坦部上で約5nm堆積する。次に、TiN層31を例えば厚さ30nmスパッタリングで堆積する。
【0047】
図8(G)に示すように、スパッタリング後、例えば500℃程度のアニーリングを、窒素雰囲気中で約30秒間行い、堆積されたコバルト層の1次シリサイド化反応を行う。次に、TiN層と未反応のコバルト膜を例えば過酸化アンモニアと過硫酸との混合液により除去する。その後、例えば700℃程度のアニーリングを、窒素雰囲気中で約30秒間行い、2次シリサイド化反応を行わせる。このようにして、低抵抗のシリサイド層25が形成される。なお、コバルトの代りにニッケルを用いることも可能である。
【0048】
図8(H)に引込部を形成したサイドウォールスペーサに対する斜めイオン注入の詳細を示す。サイドウォールスペーサ20は、下層の酸化シリコン層18が例えば深さ約20nmサイドエッチングされている。斜めイオン注入されるn型不純物は、引込部において絶縁層に遮られることなく基板表面に侵入することが可能となる。このため、引込部の高さに応じてゲート電極により近づけた不純物注入領域を形成することが出来る。
【0049】
p型不純物のBの拡散に対し、n型不純物のPやAsは拡散する程度が低く、同一条件でpチャネルMOSトランジスタとnチャネルMOSトランジスタとを作成すると、nチャネルMOSトランジスタのソース・ドレイン間距離はpチャネルMOSトランジスタのソース・ドレイン間距離よりも長くなってしまう。nチャネルMOSトランジスタに斜めイオン注入を行うことによりnチャネルMOSトランジスタのソース・ドレイン間距離を短縮することができ、CMOSトランジスタのバランスをとることが容易になる。
【0050】
その後のシリサイド化反応により、サイドウォークスペーサ外方のシリサイド領域25と、引込部下の浅いシリサイド領域25xが形成され、ソース/ドレイン間の抵抗をさらに低減する。この効果は第1の実施例と同様である。
【0051】
図9(A)は、上述の実施例により、シリサイド領域を設けた場合のソース−ドレイン間抵抗の構成を概略的に示す。ソース/ドレインのエクステンション領域と高濃度ソース/ドレイン領域とが形成された時、それぞれの抵抗成分R1、R2が直列に接続される。
【0052】
シリコン表面上にシリサイド層を形成することにより、抵抗R3、R4の直列接続が抵抗R1、R2に並列に接続された形状となる。シリサイド領域とシリコンの不純物添加領域との間に、抵抗R5、R6が分布する。このようにして、図に示すような抵抗網が形成され、抵抗R1、R2のみからなる場合と場合と較べ、ソース−ドレイン間の抵抗を低減することが可能となる。
【0053】
図9(B)は、シミュレーションにより求めた、ゲート電圧の変化に対するドレイン電流の変化を示すグラフである。図中横軸はゲート電極Vgを示し、縦軸は飽和ドレイン電流Idsを示す。ゲート長を40nm、サイドウォールスペーサの幅を100nm、拡散層深さを21.75nm、シート抵抗を1.011kΩ/□とした。引込部を形成すると、飽和ドレイン電流Idsが増加することがシミュレーションにより示された。
【0054】
図9(C)は、シミュレーションにより求めた、サイドウォールスペーサ第1層のサイドエッチ量に対する電流向上率を示すグラフである。横軸がサイドエッチ量を単位μmで示し、縦軸が電流向上率を%で示す。サイドエッチ量を増加するにつれ、ほぼリニアに電流向上率が上昇していることがシュミュレーションにより示された。
【0055】
図10は、上述の実施例により形成したMOSトランジスタを含む集積回路装置の構成を示す断面図である。シリコン基板1表面に、素子分離用トレンチが形成され、シャロートレンチアイソレーション(STI)9が形成されている。STIにより画定された活性領域内にトランジスタTR1、TR2が形成されている。これらのトランジスタは、上述の実施例に従って形成したものである。
【0056】
トランジスタを埋め込むように、第1層間絶縁膜IL1が形成され、導電性プラグPL、第1配線層W1が層間絶縁膜IL1中に埋め込まれて形成されている。第1配線層W1上に窒化シリコン層等のエッチストッパ層ES1が形成され、その上に第2層間絶縁膜IL2が形成されている。第2層間絶縁膜IL2、エッチングストッパ層ES1を通って、第2配線層W2がダマシン構造により形成されている。
【0057】
同様、第2層間絶縁膜IL2上に、エッチストッパ層ES2、その上に層間絶縁膜IL3、エッチストッパ層ES3、層間絶縁膜IL4、エッチストッパ層ES4、層間絶縁膜IL5、エッチストッパ層ES5、層間絶縁膜IL6、エッチストッパ層ES6が積層され、その上にさらに保護膜PSが形成されている。これらの絶縁積層を通って、配線層W3、W4、W5、W6が形成され、最表面には、接続パッドPDが接続されている。
【0058】
集積回路の構成素子であるMOSトランジスタのソース/ドレイン間抵抗を低減することにより、高性能の半導体集積回路を実現することが可能となる。
以上実施例の沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組合わせが可能なことは当業者に自明であろう。以下、本発明の特徴を付記する。
【0059】
(付記1)(1) (a)シリコン基板に画定された複数の活性領域の各々を横断するゲート電極を形成し、ゲート電極の両側の活性領域内にソース/ドレインのエクステンション領域を形成する工程と、
(b)前記ゲート電極の側壁を覆って、前記シリコン基板上に、エッチング特性の異なる第1および第2の絶縁膜を堆積し、異方性エッチングを行なって前記各ゲート電極側壁上にサイドウォールスペーサを形成する工程と、
(c)前記サイドウォールスペーサの第1の絶縁膜に対して選択的エッチングを行ない、前記第2の絶縁膜表面より引き込んだ引込部をゲート電極側およびシリコン基板側に形成する工程と、
(d)前記サイドウォールスペーサをマスクとしたイオン注入により、シリコン基板にソース/ドレイン領域を形成する工程と、
(e)シリサイド化可能な金属を前記半導体基板上に堆積し、シリサイド化反応を生じさせてシリサイド領域を形成する工程と、
を含む半導体装置の製造方法。
【0060】
(付記2) 前記工程(C)の選択エッチは等方性エッチングである。付記1記載の半導体装置の製造方法。
(付記3)(2) 前記第1の絶縁膜は酸化シリコンで形成され、前記第2の絶縁膜は窒化シリコンで形成され、前記工程(c)は希釈弗酸水溶液で酸化シリコンを選択的にウエットエッチングする付記1または2記載の半導体装置の製造方法。
【0061】
(付記4) 前記工程(c)が、前記サイドウォールスペーサの第1層を10nm以上、サイドウォールスペーサの幅の0.6倍以下サイドエッチングする付記1〜3のいずれか1項記載の半導体装置の製造方法。
【0062】
(付記5)(3) 前記複数の活性領域はnチャネル用領域とpチャネル用領域を含み、前記工程(d)はnチャネル用領域に対しn型不純物を斜めイオン注入する工程を含み、pチャネル用領域に対しては、前記斜めイオン注入より基板法線に近い角度でのみp型不純物をイオン注入する付記1〜3のいずれか1項記載の半導体装置の製造方法。
【0063】
(付記6) 前記工程(d)は、nチャネル用領域に対し、n型不純物を斜めイオン注入する工程と、n型不純物を垂直イオン注入する工程とを含む付記5記載の半導体装置の製造方法。
【0064】
(付記7)(4) 前記工程(e)は、前記サイドウォールスペーサ側面の引込部にも入り込むようにCoまたはNiをスパッタリングし、前記引込部下方のシリコン基板にもシリサイド領域を形成し、前記サイドウォールスペーサ外側のシリコン基板により厚いシリサイド領域を形成する付記1〜6のいずれか1項記載の半導体装置の製造方法。
【0065】
(付記8)(5) a)シリコン基板に画定された複数の活性領域の各々を横断するゲート電極を形成し、ゲート電極の両側の活性領域内にソース/ドレインのエクステンション領域を形成する工程と、
(b)前記ゲート電極の側壁を覆って、前記シリコン基板上に、エッチング特性の異なる第1および第2の絶縁膜を堆積し、異方性エッチングを行なって前記各ゲート電極側壁上にサイドウォールスペーサを形成する工程と、
(c)前記サイドウォールスペーサの第1の絶縁膜に対して選択的エッチングを行ない、前記第2の絶縁膜表面より引き込んだ引込部をサイドウォールスペーサ側面および上面に形成する工程と、
(d)前記サイドウォールスペーサをマスクとしたイオン注入により、シリコン基板にソース/ドレイン領域を形成する工程と、
(f)前記引込部にも入り込み、引込部を埋め戻すように前記シリコン基板上に第3の絶縁膜を堆積する工程と、
を含む半導体装置の製造方法。
【0066】
(付記9)(6) 前記複数の活性領域はnチャネル用領域とpチャネル用領域を含み、前記工程(d)はnチャネル用領域に対しn型不純物を斜めイオン注入する工程を含み、pチャネル用領域に対しては前記斜めイオン注入より基板法線に近い角度でのみp型不純物をイオン注入する付記8記載の半導体装置の製造方法。
【0067】
(付記10) 前記工程(d)はnチャネル用領域に対しn型不純物を斜めイオン注入する工程と、n型不純物を垂直イオン注入する工程とを含む付記9記載の半導体装置の製造方法。
【0068】
(付記11)(7) 前記工程(d)の後、
(e)シリサイド化可能な金属を、前記サイドウォールスペーサ側面の引き込む部にも入り込むようにシリコン基板上にスパッタリングし、シリサイド化反応を生じさせてシリサイド領域を形成する工程、
を含む付記8または9記載の半導体装置の製造方法。
【0069】
(付記12) 前記シリサイド化可能な金属がコバルト、又はニッケルである付記11記載の半導体装置の製造方法。
(付記13)(8) 複数の活性領域を有するシリコン基板と、
前記各活性領域を横断してシリコン基板上に形成された絶縁ゲート電極と、
前記絶縁ゲート電極側壁上に形成され、エッチング特性の異なる第1および第2の絶縁膜の積層で形成され、第1の絶縁膜の端面が第2の絶縁膜表面から引き込んだ引込部を有するサイドウォールスペーサと、
前記引込部下のシリコン基板表面に形成されたシリサイド領域と、その外側のシリコン基板表面に形成され、より厚さの大きいシリサイド領域と、
を有する半導体装置。
【0070】
(付記14)(9) 前記絶縁ゲート電極が多結晶シリコン層を含み、前記サイドウォールスペーサ上方の引込部に接する多結晶シリコンがシリサイド化されている付記13記載の半導体装置。
【0071】
(付記15)(10) さらに、前記引込部を埋め戻して、シリコン基板上に堆積させた第3の絶縁膜を有する付記13または14記載の半導体装置。
【0072】
【発明の効果】
以上説明したように、本発明によれば、MOSトランジスタのソース−ドレイン間抵抗を低減することが可能となる。
【0073】
nチャネルMOSトランジスタ領域に斜めイオン注入を導入することにより、nチャネルMOSトランジスタとpチャネルMOSトランジスタとの特性のバランスを取ることが容易になる。
【図面の簡単な説明】
【図1】本発明の第1の実施例による半導体装置の製造方法を示す断面図である。
【図2】本発明の第1の実施例による半導体装置の製造方法を示す断面図である。
【図3】本発明の第1の実施例による半導体装置の製造方法を示す断面図である。
【図4】本発明の第1の実施例による半導体装置の製造方法を示す断面図である。
【図5】本発明の第1の実施例による半導体装置の製造方法を示す断面図である。
【図6】本発明の第1の実施例による半導体装置の製造方法を説明する断面図、写真、特性グラフである。
【図7】本発明の第2の実施例による半導体装置の製造方法を示す断面図である。
【図8】本発明の第2の実施例による半導体装置の製造方法を示す断面図である。
【図9】本発明の実施例によるトランジスタの特徴を説明する概略断面図及びシュミュレーション結果を示すグラフである。
【図10】半導体集積回路装置の断面図である。
【符号の説明】
1 シリコン基板
2 バッファ酸化シリコン膜
3 窒化シリコン膜
4 レジストパターン
5 開口
6 トレンチ
7 酸化シリコン層
9 酸化シリコン膜
10 ウエル
11 ゲート絶縁膜
12 多結晶シリコン層
13 レジストパターン
18 酸化シリコン層
19 窒化シリコン層
20 サイドウォールスペーサ
21 レジストパターン
22 ソース/ドレイン領域
23 レジストパターン
24 ソース/ドレイン領域
25 シリサイド領域

Claims (10)

  1. (a)シリコン基板に画定された複数の活性領域の各々を横断するゲート電極を形成し、ゲート電極の両側の活性領域内にソース/ドレインのエクステンション領域を形成する工程と、
    (b)前記ゲート電極の側壁を覆って、前記シリコン基板上に、エッチング特性の異なる第1および第2の絶縁膜を堆積し、異方性エッチングを行なって前記各ゲート電極側壁上にサイドウォールスペーサを形成する工程と、
    (c)前記サイドウォールスペーサの第1の絶縁膜に対して選択的エッチングを行ない、前記第2の絶縁膜表面より引き込んだ引込部をゲート電極側およびシリコン基板側に形成する工程と、
    (d)前記サイドウォールスペーサをマスクとしたイオン注入により、シリコン基板にソース/ドレイン領域を形成する工程と、
    (e)シリサイド化可能な金属を前記半導体基板上に堆積し、シリサイド化反応を生じさせてシリサイド領域を形成する工程と、
    を含む半導体装置の製造方法。
  2. 前記第1の絶縁膜は酸化シリコンで形成され、前記第2の絶縁膜は窒化シリコンで形成され、前記工程(c)は希釈弗酸水溶液で酸化シリコンを選択的にウエットエッチングする請求項1記載の半導体装置の製造方法。
  3. 前記複数の活性領域はnチャネル用領域とpチャネル用領域を含み、前記工程(d)はnチャネル用領域に対しn型不純物を斜めイオン注入する工程を含み、pチャネル用領域に対しては、前記斜めイオン注入より基板法線に近い角度でのみp型不純物をイオン注入する請求項1または2記載の半導体装置の製造方法。
  4. 前記工程(e)は、前記サイドウォールスペーサ側面の引込部にも入り込むようにCoまたはNiをスパッタリングし、前記引込部下方のシリコン基板にもシリサイド領域を形成し、前記サイドウォールスペーサ外側のシリコン基板により厚いシリサイド領域を形成する請求項1〜3のいずれか1項記載の半導体装置の製造方法。
  5. a)シリコン基板に画定された複数の活性領域の各々を横断するゲート電極を形成し、ゲート電極の両側の活性領域内にソース/ドレインのエクステンション領域を形成する工程と、
    (b)前記ゲート電極の側壁を覆って、前記シリコン基板上に、エッチング特性の異なる第1および第2の絶縁膜を堆積し、異方性エッチングを行なって前記各ゲート電極側壁上にサイドウォールスペーサを形成する工程と、
    (c)前記サイドウォールスペーサの第1の絶縁膜に対して選択的エッチングを行ない、前記第2の絶縁膜表面より引き込んだ引込部をサイドウォールスペーサ側面および上面に形成する工程と、
    (d)前記サイドウォールスペーサをマスクとしたイオン注入により、シリコン基板にソース/ドレイン領域を形成する工程と、
    (f)前記引込部にも入り込み、引込部を埋め戻すように前記シリコン基板上に第3の絶縁膜を堆積する工程と、
    を含む半導体装置の製造方法。
  6. 前記複数の活性領域はnチャネル用領域とpチャネル用領域を含み、前記工程(d)はnチャネル用領域に対しn型不純物を斜めイオン注入する工程を含み、pチャネル用領域に対しては前記斜めイオン注入より基板法線に近い角度でのみp型不純物をイオン注入する請求項5記載の半導体装置の製造方法。
  7. 前記工程(d)の後、
    (e)シリサイド化可能な金属を、前記サイドウォールスペーサ側面の引き込む部にも入り込むようにシリコン基板上にスパッタリングし、シリサイド化反応を生じさせてシリサイド領域を形成する工程、
    を含む請求項5または6記載の半導体装置の製造方法。
  8. 複数の活性領域を有するシリコン基板と、
    前記各活性領域を横断してシリコン基板上に形成された絶縁ゲート電極と、
    前記絶縁ゲート電極側壁上に形成され、エッチング特性の異なる第1および第2の絶縁膜の積層で形成され、第1の絶縁膜の端面が第2の絶縁膜表面から引き込んだ引込部を有するサイドウォールスペーサと、
    前記引込部下のシリコン基板表面に形成されたシリサイド領域と、その外側のシリコン基板表面に形成され、より厚さの大きいシリサイド領域と、
    を有する半導体装置。
  9. 前記絶縁ゲート電極が多結晶シリコン層を含み、前記サイドウォールスペーサ上方の引込部に接する多結晶シリコンがシリサイド化されている請求項8記載の半導体装置。
  10. さらに、前記引込部を埋め戻して、シリコン基板上に堆積させた第3の絶縁膜を有する請求項8または9記載の半導体装置。
JP2002285372A 2002-09-30 2002-09-30 半導体装置の製造方法と半導体装置 Pending JP2004127957A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002285372A JP2004127957A (ja) 2002-09-30 2002-09-30 半導体装置の製造方法と半導体装置
CNA031587569A CN1497708A (zh) 2002-09-30 2003-09-22 半导体器件的制造方法及制成的半导体器件
US10/668,211 US20040063289A1 (en) 2002-09-30 2003-09-24 Reduction in source-drain resistance of semiconductor device
TW092126399A TW200406880A (en) 2002-09-30 2003-09-24 Reduction in source-drain resistance of semiconductor device
KR1020030067357A KR20040028579A (ko) 2002-09-30 2003-09-29 반도체 장치의 제조 방법과 반도체 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002285372A JP2004127957A (ja) 2002-09-30 2002-09-30 半導体装置の製造方法と半導体装置

Publications (1)

Publication Number Publication Date
JP2004127957A true JP2004127957A (ja) 2004-04-22

Family

ID=32025332

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002285372A Pending JP2004127957A (ja) 2002-09-30 2002-09-30 半導体装置の製造方法と半導体装置

Country Status (5)

Country Link
US (1) US20040063289A1 (ja)
JP (1) JP2004127957A (ja)
KR (1) KR20040028579A (ja)
CN (1) CN1497708A (ja)
TW (1) TW200406880A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005621A (ja) * 2005-06-24 2007-01-11 Fujitsu Ltd 高歪みmosトランジスタを含む半導体装置
US7262472B2 (en) 2004-06-08 2007-08-28 Fujitsu Limited Semiconductor device having stress and its manufacture method
JP2011176354A (ja) * 2011-05-06 2011-09-08 Renesas Electronics Corp 半導体装置
US8093635B2 (en) 2007-03-30 2012-01-10 Sony Corporation Solid-state imaging element

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100487656B1 (ko) * 2003-08-12 2005-05-03 삼성전자주식회사 반도체 기판과 ″l″형 스페이서 사이에 에어 갭을구비하는 반도체 소자 및 그 제조 방법
US7129548B2 (en) * 2004-08-11 2006-10-31 International Business Machines Corporation MOSFET structure with multiple self-aligned silicide contacts
JP2006173438A (ja) * 2004-12-17 2006-06-29 Yamaha Corp Mos型半導体装置の製法
KR100744068B1 (ko) * 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US7648871B2 (en) * 2005-10-21 2010-01-19 International Business Machines Corporation Field effect transistors (FETS) with inverted source/drain metallic contacts, and method of fabricating same
US7569896B2 (en) * 2006-05-22 2009-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stressed channels
US7364957B2 (en) * 2006-07-20 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for semiconductor device with improved source/drain junctions
US8395132B2 (en) 2007-06-25 2013-03-12 International Rectifier Corporation Ion implanting while growing a III-nitride layer
US9218991B2 (en) * 2007-06-25 2015-12-22 Infineon Technologies Americas Corp. Ion implantation at high temperature surface equilibrium conditions
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012120653A1 (ja) * 2011-03-08 2012-09-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8431461B1 (en) * 2011-12-16 2013-04-30 Lam Research Corporation Silicon nitride dry trim without top pulldown
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102683292A (zh) * 2012-05-28 2012-09-19 上海华力微电子有限公司 嵌入式硅纳米晶sonos器件制造方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104810404A (zh) * 2015-04-08 2015-07-29 中国电子科技集团公司第五十五研究所 一种精细多晶硅硅化物复合栅结构及其制备方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
FR3067516B1 (fr) * 2017-06-12 2020-07-10 Stmicroelectronics (Rousset) Sas Realisation de regions semiconductrices dans une puce electronique
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10586853B2 (en) 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10840351B2 (en) * 2019-01-03 2020-11-17 International Business Machines Corporation Transistor with airgap spacer and tight gate pitch
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111599667A (zh) * 2020-05-29 2020-08-28 上海华力集成电路制造有限公司 离子注入工艺的光刻定义方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115084024B (zh) * 2022-07-19 2022-11-18 合肥晶合集成电路股份有限公司 半导体器件及其制备方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093629A (en) * 1998-02-02 2000-07-25 Taiwan Semiconductor Manufacturing Company Method of simplified contact etching and ion implantation for CMOS technology
US6512266B1 (en) * 2001-07-11 2003-01-28 International Business Machines Corporation Method of fabricating SiO2 spacers and annealing caps

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7262472B2 (en) 2004-06-08 2007-08-28 Fujitsu Limited Semiconductor device having stress and its manufacture method
JP2007005621A (ja) * 2005-06-24 2007-01-11 Fujitsu Ltd 高歪みmosトランジスタを含む半導体装置
US8093635B2 (en) 2007-03-30 2012-01-10 Sony Corporation Solid-state imaging element
US8097486B2 (en) 2007-03-30 2012-01-17 Sony Corporation Method for producing a solid-state imaging element
JP2011176354A (ja) * 2011-05-06 2011-09-08 Renesas Electronics Corp 半導体装置

Also Published As

Publication number Publication date
CN1497708A (zh) 2004-05-19
TW200406880A (en) 2004-05-01
KR20040028579A (ko) 2004-04-03
US20040063289A1 (en) 2004-04-01

Similar Documents

Publication Publication Date Title
JP2004127957A (ja) 半導体装置の製造方法と半導体装置
JP4700295B2 (ja) 半導体装置とその製造方法
US5723893A (en) Method for fabricating double silicide gate electrode structures on CMOS-field effect transistors
US8247284B2 (en) Manufacture of semiconductor device with stress structure
CN102110714B (zh) 半导体元件及其形成方法
US5933741A (en) Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
CN104867975A (zh) 场效应晶体管的接触蚀刻停止层
US6350665B1 (en) Semiconductor structure and method of making contacts and source and/or drain junctions in a semiconductor device
JP2002299608A (ja) 半導体装置及びその製造方法
CN103715258A (zh) 用于半导体器件的源极/漏极堆叠件压力源
US7202123B1 (en) Mesa isolation technology for extremely thin silicon-on-insulator semiconductor devices
JP2002026313A (ja) 半導体集積回路装置およびその製造方法
CN106206435A (zh) 无掩模双硅化工艺
TWI783502B (zh) 半導體結構及其形成方法
JPH08111527A (ja) 自己整合シリサイド領域を有する半導体デバイスの製造方法
JP2006202860A (ja) 半導体装置及びその製造方法
US6534393B1 (en) Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity
JP3394914B2 (ja) 半導体装置およびその製造方法
JP4527552B2 (ja) 半導体装置とその製造方法
US7989300B2 (en) Method of manufacturing semiconductor device
JP2012028562A (ja) 半導体装置の製造方法
TWI377647B (en) Semiconductor device and method of manufacturing the same
JP4685359B2 (ja) 半導体装置の製造方法
TWI807706B (zh) 半導體裝置及其製造方法
JP3778065B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041028

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041109

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050308