CN103715258A - 用于半导体器件的源极/漏极堆叠件压力源 - Google Patents

用于半导体器件的源极/漏极堆叠件压力源 Download PDF

Info

Publication number
CN103715258A
CN103715258A CN201310020026.8A CN201310020026A CN103715258A CN 103715258 A CN103715258 A CN 103715258A CN 201310020026 A CN201310020026 A CN 201310020026A CN 103715258 A CN103715258 A CN 103715258A
Authority
CN
China
Prior art keywords
fin
source
lattice constant
drain
semi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310020026.8A
Other languages
English (en)
Other versions
CN103715258B (zh
Inventor
吴志强
张广兴
江国诚
苏俊钟
朱熙甯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103715258A publication Critical patent/CN103715258A/zh
Application granted granted Critical
Publication of CN103715258B publication Critical patent/CN103715258B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

本发明提供半导体器件。该器件包括衬底,通过第一半导体材料形成的鳍结构,位于鳍的一部分上的栅极区,在衬底上且通过栅极区隔开的源极区和漏极区,以及在源极区和漏极区上的源极/漏极堆叠件。源极/漏极堆叠件的下部通过第二半导体材料形成并且接触栅极区中鳍的下部。源极/漏极堆叠件的上部通过第三半导体材料形成并且接触栅极区中鳍的上部。本发明还提供一种用于半导体器件的源极/漏极堆叠件压力源。

Description

用于半导体器件的源极/漏极堆叠件压力源
技术领域
本发明总体涉及半导体领域,更具体地涉及一种用于半导体器件的源极/漏极堆叠件压力源。
背景技术
半导体集成电路(IC)产业经历指数式增长。在IC材料和设计方面的技术改进产生了数代IC,其中每一代都比先前的具有更小且更复杂的电路。在IC进展的过程中,功能密度(即,平均每芯片面积上互连器件的数目)通常增加,而几何尺寸(即,使用制造工艺能够制造的最小的部件(或线))减小。这种按比例缩小工艺通常提供提高生产效率和降低相关成本的益处。
这样的按比例缩小工艺还增大了加工和制造IC的复杂性,为了实现这些改进,需要在IC加工和制造方面类似的发展。例如,引进诸如鳍式场效应晶体管(FinFET)的三维晶体管以取代平面晶体管。尽管目前的FinFET器件和制造FinFET器件的方法足以实现预期的目的,但是它们并不能在各方面都尽如人意。例如,引入对FinFET器件的栅极沟道的压力增加了挑战。期望在这个领域内有所改进。
发明内容
根据本发明的第一方面,提供一种半导体器件,包括:衬底;鳍,通过具有第一晶格常数的第一半导体材料在所述衬底上形成;栅极区,形成在位于所述衬底上的所述鳍的一部分上;源极区和漏极区,在所述衬底上并通过所述栅极区隔开;源极/漏极堆叠件,具有厚度(T)且位于所述源极区和漏极区上;第二半导体材料,具有第二晶格常数,所述第二半导体材料作为所述源极/漏极堆叠件的底部并且接触所述栅极区中的所述鳍的下部,其中所述第二晶格常数基本上小于所述第一晶格常数;以及第三半导体材料,具有第三晶格常数和厚度(t),所述第三半导体材料在所述第二半导体材料的顶部上作为所述源极/漏极堆叠件的上部并且接触所述栅极区中的所述鳍的上部,其中所述第三晶格常数大于或者等于所述第一晶格常数。
优选地,所述鳍的第一半导体材料包括外延生长材料。
优选地,所述源极/漏极堆叠件的底部的第二半导体材料包括外延生长材料。
优选地,所述源极/漏极堆叠件的上部的第三半导体材料包括外延生长材料。
优选地,所述第二晶格常数在约5.526至约5.549的范围内。
优选地,所述第三晶格常数在约5.645至约5.658的范围内。
优选地,t与T的厚度比在约0.1至约0.8的范围内。
优选地,所述鳍是锗(Ge)鳍,而所述源极/漏极堆叠件由Ge顶部上的硅锗(SiGe)形成。
优选地,所述鳍是具有第一晶格常数的硅锗(SiGe)鳍,而所述源极/漏极堆叠件是具有第三晶格常数的另一SiGe位于具有第二晶格常数的又一SiGe之上。
优选地,所述第三晶格常数基本上大于所述第二晶格常数。
优选地,所述第三晶格常数大于或者等于所述第一晶格常数。
根据本发明的第二方面,提供一种半导体器件,包括:衬底,具有第一鳍;第二鳍,位于所述第一鳍的顶部上并通过外延生长锗(Ge)形成;栅极区,形成在所述第二鳍的一部分上;源极区和漏极区,在所述衬底上并通过所述栅极区隔开;源极/漏极堆叠件,具有厚度(T)且位于所述栅极区中第二Ge鳍旁边的所述源极区和所述漏极区上;外延生长硅锗(SiGe),作为所述源极/漏极堆叠件的底部并且接触所述第二Ge鳍的下部;以及外延生长Ge,具有厚度(t)、位于所述SiGe的顶部上作为所述源极/漏极堆叠件的上部并且接触所述栅极区中的所述第二Ge鳍的上部。
优选地,所述SiGe的Ge组分的原子百分比在约0.45至约0.55的范围内。
优选地,t与T的比值在约0.1至约0.8的范围内。
根据本发明的第三方面,提供一种用于制造鳍式场效应晶体管(FinFET)器件的方法,所述方法包括:提供衬底,所述衬底具有第一鳍和位于所述第一鳍之间的隔离区;使所述第一鳍凹进;外延生长具有第一晶格常数的第一半导体材料以在凹进的所述第一鳍上形成第二鳍;在所述第二鳍的一部分上形成伪栅极堆叠件,其中所述第二鳍的这一部分被限定为栅极区;使所述第二鳍位于所述伪栅极堆叠件旁边的一部分凹进以形成源极/漏极区;在所述源极/漏极区中外延生长具有第二晶格常数的第二半导体材料,以形成源极/漏极堆叠件的下部并且接触所述栅极区中的所述第二鳍的下部,其中所述第二晶格常数基本上小于所述第一晶格常数;以及在所述源极/漏极区中外延生长具有第三晶格常数的第三半导体材料,以形成源极/漏极堆叠件的上部并且接触所述栅极区中的所述第二鳍的上部,其中所述第三晶格常数基本上大于所述第二晶格常数。
优选地,所述第一晶格常数在约5.645至约5.658的范围内。
优选地,所述第二晶格常数在约5.526至约5.549的范围内。
优选地,所述第三晶格常数大于或者等于所述第一晶格常数。
优选地,所述第三半导体材料与所述源极/漏极堆叠件的厚度比在约0.1至0.8的范围内。
优选地,用于制造鳍式场效应晶体管(FinFET)器件的方法进一步包括:去除所述伪栅极堆叠件以形成栅极沟槽;以及在所述栅极沟槽中形成高k/金属栅极。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,对各种部件没有按比例绘制并且仅仅用于说明的目的。实际上,为了清楚论述起见,各种部件的尺寸可以被任意增大或缩小。
图1是根据本发明的各方面用于制造FinFET器件的方法实例的流程图;
图2至图5是根据图1的方法处于制造各阶段中FinFET器件实例的截面图;
图6是根据本发明的各方面FinFET器件的侧透视图;
图7至图10是沿图6中线A-A的FinFET器件的截面图。
具体实施方式
为实施本发明的不同部件,以下公开内容提供了许多不同的实施例或实例。在下面描述元件和布置的特定实例以简化本发明。当然这些仅仅是实例并不旨在限定。例如,在下面的描述中,第一部件形成在第二部件上或者上方可以包括第一和第二部件以直接接触形成的实施例,并且也可以包括可以形成介入第一和第二部件之间的额外的部件,使得第一和第二部件不直接接触的实施例。此外,本发明在各个实例中可以重复参考编号和/或字母。这种重复是为了简明和清楚的目的,并且其本身并不规定所论述的各种实施例和/或配置之间的关系。
本发明针对但并不限于FinFET器件。例如,FinFET器件可以是互补金属氧化物半导体(CMOS)器件,其包含P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)FinFET器件。以下公开内容将继续用FinFET实例来阐述本发明的各种实施例。然而,应该理解,除非特别说明,本申请不应限于特定类型的器件。
图1是根据本发明的方面的用于制造FinFET器件200的方法100的流程图。图2至图10是根据图1的方法100处于制造各阶段中FinFET器件200的视图。参考图1至图10共同描述了方法100和FinFET器件200。应该理解,可以在方法100之前、期间以及之后提供额外的步骤,并且对于该方法的其他实施例可以取代或排除所描述的一些步骤。
参考图1和图2,方法100开始于步骤102,提供衬底210。衬底210可以是体硅衬底。可选地,衬底210可以包括:元素半导体,诸如晶体结构的硅或锗;化合物半导体,诸如硅锗、碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;或者这些的组合。可能的衬底210还包括绝缘体上硅(SOI)衬底。使用注氧隔离(SIMOX)、晶圆接合和/或其他合适的方法来制造SOI衬底。
一些示例性的衬底210还包括绝缘层。绝缘层包括任何合适的材料,包含氧化硅、蓝宝石和/或这些的组合。示例性的绝缘层可以是埋氧层(BOX)。通过诸如注入(例如,SIMOX)、氧化、沉积和/或其他合适工艺的任何合适的工艺来形成绝缘体。在一些示例性FinFET前体中,绝缘层是绝缘体上硅衬底的组成部分(例如层)。
取决于本领域中已知的设计要求,衬底210可以包括各种掺杂区。掺杂区可以是:掺杂诸如硼或者BF2的p型掺杂物;诸如磷或砷的n型掺杂物;或者这些的组合。掺杂区可以直接形成在衬底210上、P阱结构中、N阱结构中、双阱结构中或者使用凸起结构。衬底210可以进一步包括各种有源区,诸如配置用于N型金属氧化物半导体晶体管器件的区和配置用于P型金属氧化物半导体晶体管器件的区。
第一鳍220形成在衬底210上。在一些实施例中,衬底210包括一个以上的第一鳍220。第一鳍220通过包括各种沉积、光刻和/或蚀刻工艺的任何合适的工艺形成。示例性的光刻工艺包括形成覆盖在衬底(例如,在硅层上)上方的光刻胶层(光刻胶),将光刻胶暴露于图案,实施曝光后烘焙工艺,以及显影光刻胶以形成包含光刻胶的掩蔽元件。然后使用掩蔽元件蚀刻鳍结构至衬底210中。使用反应离子蚀刻(RIE)工艺和/或其他合适的工艺蚀刻未被掩蔽元件保护的区域。在一个实例中,通过图案化和蚀刻硅衬底210的一部分来形成第一鳍220。在另一实例中,通过图案化和蚀刻沉积覆在绝缘层上方的硅层(例如,SOI衬底的硅-绝缘体-硅堆叠的上部硅层)来形成第一鳍220。作为对传统光刻的替换,可以通过双图案化光刻(DPL)工艺来形成第一鳍220。DPL是通过在衬底上将图案分成两个交叉的图案来形成图案的方法。DPL允许增大部件(例如,鳍)的密度。各种DPL方法包括:双重曝光(例如,使用两个掩模组),形成邻近于部件的间隔件并且去除该部件以提供间隔件的图案,光刻胶冻结和/或其他合适的工艺。应该理解,可以以相似的方式形成多个平行的第一鳍220。
各种隔离区230形成在衬底210上以隔离有源区。例如,隔离区230将第一鳍220隔开。可以使用诸如浅沟槽隔离(STI)的传统隔离技术形成隔离区230以限定和电隔离各种区。隔离区230包括氧化硅、氮化硅、氮氧化硅、气隙、其他合适的材料或者这些的组合。通过任何合适的工艺形成隔离区230。作为一个实例,STI的形成包括光刻工艺,在衬底中蚀刻(例如,通过使用干蚀刻和/或湿蚀刻)沟槽以及用一种或多种介电材料填充(例如,使用化学汽相沉积工艺)该沟槽。如同本实施例,沟槽可以是部分填充,其中余留在沟槽之间的衬底形成鳍结构。在一些实例中,所填充的沟槽可以具有多层结构,诸如用氮化硅或者氧化硅填充的热氧化衬层。在一个实施例中,实施化学机械抛光(CMP)工艺以去除过量的介电材料并且平坦化隔离区230的顶面与第一鳍220的顶面。
参考图1和图3,方法100继续步骤104,使第一鳍220凹进以形成凹槽310。凹进工艺可以包括干蚀刻工艺、湿蚀刻工艺和/或这些的组合。凹进工艺还可以包括选择性湿蚀刻或者选择性干蚀刻。湿蚀刻溶液包括四甲基氢氧化铵(TMAH)、HF/HNO3/CH3COOH溶液或者其他合适的溶液。干蚀刻和湿蚀刻工艺具有可以调整的蚀刻参数,诸如使用的蚀刻剂、蚀刻温度、蚀刻溶液浓度、蚀刻压力、电源功率、RF偏置电压、RF偏置功率、蚀刻剂流速以及其他合适的参数。例如,湿蚀刻溶液可以包括NH4OH、KOH(氢氧化钾)、HF(氢氟酸)、TMAH(四乙基氢氧化铵)、其他合适的湿蚀刻溶液或者这些的组合。干蚀刻工艺包括使用以氯为基础的化学制品的偏置等离子蚀刻工艺。其他干蚀刻剂气体包括CF4、NF3、SF6和He。还可以使用如DRIE(深反应离子蚀刻)的机制各向异性地实施干蚀刻。
参考图1和图4,方法100继续步骤106,在凹进的第一鳍220的顶部上沉积第一半导体材料层320并且填充在凹槽310中。可以通过外延生长工艺沉积第一半导体材料层320。第一半导体材料层320可以由诸如锗(Ge)或硅(Si)的单元素半导体材料;诸如砷化镓(GaAs)、砷镓化铝(AlGaAs)的化合物半导体材料;或者诸如硅锗(SiGe)、镓砷磷(GaAsP)的半导体合金的材料形成。外延工艺包括CVD沉积技术(例如,汽相外延(VPE)和/或超高真空CVD(UHV-CVD)、分子束外延和/或其他合适的工艺。
在一个实施例中,第一半导体材料层320包括Ge的外延生长材料。在另一实施例中,第一半导体材料层320包括Si1-xGex的外延生长材料,其中x表示Ge的原子百分比组成。Ge在SiGe层320中的组成比可以通过诸如压力、气体流量、第一温度的外延生长工艺条件来控制。此外,可以实施CMP工艺以去除过量的半导体材料层320并且平坦化半导体材料层320的顶面与隔离区230的顶面。
参考图1和图5,方法100继续步骤108,使半导体材料层320周围的隔离区230凹进以暴露第一半导体材料层320的上部,从而在凹进的第一鳍220的顶部上形成第二鳍410。凹进工艺可以包括干蚀刻工艺、湿蚀刻工艺和/或这些的组合。
参考图6,在一些实施例中,第二鳍410具有源极/漏极区412和栅极区413。在一些实施例中,一个源极/漏极区412是源极区,并且另一源极/漏极区412是漏极区。栅极区413设置在源极/漏极区412之间。
参考图1和图7,方法100继续步骤110,在栅极区413上方形成栅极堆叠件510并且沿着栅极堆叠件510形成侧壁间隔件520。在栅极最初工艺中,栅极堆叠件510可以是功能栅极的全部或一部分。相反,在栅极最后工艺中,栅极堆叠件510可以是伪栅极。在本实施例中,栅极堆叠件510是伪栅极。随后,在实施高温热处理工艺(诸如在源极/漏极形成期间的热处理工艺)之后通过高k(HK)和金属栅极(MG)来替代伪栅极堆叠件510。替代工艺可以包括去除伪栅极堆叠件以形成栅极沟槽以及在栅极沟槽中形成HK/MG。伪栅极堆叠件510可以包括介电层512,多晶硅层514。通过任何合适的工艺形成伪栅极堆叠件510。例如,可以通过包括沉积、光刻图案化和蚀刻工艺的步骤来形成栅极堆叠件510。沉积工艺包括CVD、PVD、ALD、其他合适的方法和/或这些的组合。光刻图案化工艺包括光刻胶涂敷(例如旋涂式涂敷)、软烘、掩模对准、曝光、曝光后烘焙、光刻胶显影、清洗、干燥(例如,硬烘)、其他合适的工艺和/或这些的组合。蚀刻工艺包括干蚀刻、湿蚀刻和/或其他蚀刻方法(例如,反应离子蚀刻)。介电层512包括氧化硅、氮化硅或者任何其他合适的材料。
侧壁间隔件520可以包括介电材料,诸如氧化硅、氮化硅、碳化硅、氮氧化硅或者这些的组合。侧壁间隔件520可以包括多层。侧壁间隔件520的典型形成方法包括:在栅极堆叠件510上方沉积介电材料然后各向异性地回蚀刻介电材料。回蚀刻工艺可以包括多级蚀刻以获得蚀刻选择性、灵活性以及期望的过蚀刻控制。
参考图1和图8,方法100继续步骤112,在源极/漏极区412中去除第二鳍410的至少一部分。通过干蚀刻工艺、湿蚀刻工艺和/或这些的组合来去除第二鳍410的该部分。
参考图1和图9,方法100继续步骤114,在源极/漏极区412中沉积第二半导体材料610以形成源极/漏极堆叠件630的下部。位于源极/漏极区412中第二半导体材料610的侧面通过位于栅极区413中的第一界面615与第二鳍410的下部接触。第二半导体材料层610可以包括Ge、Si、SiGe、GaAs、AlGaAs、GaAsP或者其他合适的半导体材料。可以通过外延工艺沉积第二半导体材料层610。
参考图1和图10,方法100继续步骤116,在位于源极/漏极区412中的第二半导体材料610上沉积第三半导体材料620以形成源极/漏极堆叠件630的上部。第三半导体材料620可以包括Ge、Si、SiGe、GaAs、AlGaAs、GaAsP或者其他合适的半导体材料。在很多方面,第三半导体材料620的形成与上述图9中相关的描述类似。位于源极/漏极区412中的第三半导体材料620的侧面通过位于栅极区413中的第二界面616与第二鳍410的上部接触。
再参考图10,在本实施例中,配置源极/漏极堆叠件630从而使得第三半导体620的晶格常数(指第三晶格常数)基本上大于第二半导体材料610的晶格常数(指第二晶格常数);第三晶格常数等于或者大于第一半导体材料320的晶格常数(指第一晶格常数)。例如,第二半导体材料610是Si1-yGey,其中y表示Ge的原子百分比组成,并且第二晶格常数在5.526至5.549的范围内(通过使y在0.45至0.55范围内变化);第三半导体材料620是与第一半导体材料320相同的材料Si1-xGex,并且第三晶格常数在5.645至5.658的范围内(通过使x在0.95至1.0范围内变化)。而且,在本实施例中,配置源极/漏极堆叠件630从而调整第三半导体620的厚度(t)与源极/漏极堆叠件的总厚度(T)的厚度比以满足诸如沟道迁移率的器件性能的标准。例如,厚度比在0.1至0.8的范围内。应该相信,与t和T的厚度比协同的第一、第二和第三晶格常数之中晶格常数错配的程度引起对于栅极区413中栅极沟槽的各种应力量级。因此,源极/漏极堆叠件630充当源极/漏极压力源。
FinFET器件200可以经历进一步的栅极替代工艺从而用高k(HK)/金属栅极(MG)替代伪栅极堆叠件510。FinFET器件200还可以经历进一步的CMOS或者MOS技术处理以形成本领域中已知的各种部件和区。例如,后续的工艺可以在衬底210上形成各种接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),被配置成连接FinFET器件200的各种部件或者结构。例如,多层互连包括诸如传统的通孔或者接触件的垂直互连件,以及诸如金属线的水平互连件。各种互连部件可以使用包括铜、钨和/或硅化物的各种导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜相关的多层互连结构。
可以在方法100之前、期间以及之后提供额外的步骤,并且对于该方法的其他实施例可以取代或者排除所描述的其中一些步骤。
综上所述,本发明提供具有源极/漏极堆叠件压力源的半导体器件,从而提供对于栅极沟道的有效的应力。通过选择源极/漏极堆叠件压力源的每一相关材料的晶格常数和厚度比,可以引起对于半导体器件栅极沟道的不同应力量级以提高器件性能。如果需要的话,源极/漏极堆叠件压力源还为使用能够承受更高热预算的材料提供灵活性。例如,代替使用锗锡(GeSn),源极/漏极堆叠件压力源可以由SiGe形成,SiGe具有比GeSn基本上更高的熔融温度。
本发明提供具有源极/漏极堆叠件压力源的半导体器件的许多不同的实施例,提供了优于现有技术的一个或多个改进。半导体器件包括:衬底;通过具有第一晶格常数的第一半导体材料在衬底上形成的第一鳍结构;在衬底上的一部分鳍上形成的栅极区;在衬底上通过栅极区隔开的源极区和漏极区;在源极/漏极区上具有厚度(T)的源极/漏极堆叠件;具有第二晶格常数的第二半导体材料,其作为源极/漏极堆叠件的下部并且接触栅极区中所述鳍的下部。第二晶格常数基本上小于第一晶格常数。半导体器件还包括具有第三晶格常数和厚度(t)的第三半导体材料,其位于第二半导体材料上方作为源极/漏极堆叠件的上部并且接触栅极区中鳍的上部。第三晶格常数大于或者等于第一晶格常数。
在另一实施例中,FinFET器件包括:具有第一鳍的衬底;通过外延生长锗(Ge)位于第一鳍的顶部上的第二鳍;形成在第二Ge鳍的一部分上的栅极区;在衬底上通过栅极区隔开的源极区和漏极区;在源极/漏极区上具有厚度(T)的源极/漏极堆叠件,其位于栅极区中第二Ge鳍的旁边;外延生长硅锗(SiGe),其作为源极/漏极堆叠件的底部并且接触第二Ge鳍的下部;以及位于SiGe的顶部上的外延生长Ge,其作为源极/漏极堆叠件的上部并且接触栅极区中第二Ge鳍的上部。
在又一实施例中,一种用于制造FinFET器件的方法包括:提供具有第一鳍和位于该第一鳍之间的隔离区的衬底;使第一鳍凹进;外延生长具有第一晶格常数的第一半导体材料以在凹进的第一鳍上形成第二鳍;在第二鳍的一部分上形成伪栅极堆叠件;使第二鳍的在伪栅极堆叠件旁边的另一部分凹进以形成源极/漏极区;在源极/漏极区中外延生长具有第二晶格常数的第二半导体材料以形成源极/漏极堆叠件的下部并且接触第二鳍的下部。第二晶格常数基本上小于第一晶格常数。该方法还包括:在源极/漏极区中外延生长具有第三晶格常数的第三半导体材料以形成源极/漏极堆叠件的上部并且接触第二鳍的上部。第三晶格常数基本上大于第二晶格常数。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的构思和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种半导体器件,包括:
衬底;
鳍,通过具有第一晶格常数的第一半导体材料在所述衬底上形成;
栅极区,形成在位于所述衬底上的所述鳍的一部分上;
源极区和漏极区,在所述衬底上并通过所述栅极区隔开;
源极/漏极堆叠件,具有厚度(T)且位于所述源极区和漏极区上;
第二半导体材料,具有第二晶格常数,所述第二半导体材料作为所述源极/漏极堆叠件的底部并且接触所述栅极区中的所述鳍的下部,其中所述第二晶格常数基本上小于所述第一晶格常数;以及
第三半导体材料,具有第三晶格常数和厚度(t),所述第三半导体材料在所述第二半导体材料的顶部上作为所述源极/漏极堆叠件的上部并且接触所述栅极区中的所述鳍的上部,其中所述第三晶格常数大于或者等于所述第一晶格常数。
2.根据权利要求1所述的半导体器件,其中,所述鳍的第一半导体材料包括外延生长材料。
3.根据权利要求1所述的半导体器件,其中,所述源极/漏极堆叠件的底部的第二半导体材料包括外延生长材料。
4.根据权利要求1所述的半导体器件,其中,所述源极/漏极堆叠件的上部的第三半导体材料包括外延生长材料。
5.根据权利要求1所述的半导体器件,其中,所述第二晶格常数在约5.526至约5.549的范围内。
6.根据权利要求1所述的半导体器件,其中,所述第三晶格常数在约5.645至约5.658的范围内。
7.根据权利要求1所述的半导体器件,其中,t与T的厚度比在约0.1至约0.8的范围内。
8.根据权利要求1所述的半导体器件,其中,所述鳍是锗(Ge)鳍,而所述源极/漏极堆叠件由Ge顶部上的硅锗(SiGe)形成。
9.一种半导体器件,包括:
衬底,具有第一鳍;
第二鳍,位于所述第一鳍的顶部上并通过外延生长锗(Ge)形成;
栅极区,形成在所述第二鳍的一部分上;
源极区和漏极区,在所述衬底上并通过所述栅极区隔开;
源极/漏极堆叠件,具有厚度(T)且位于所述栅极区中第二Ge鳍旁边的所述源极区和所述漏极区上;
外延生长硅锗(SiGe),作为所述源极/漏极堆叠件的底部并且接触所述第二Ge鳍的下部;以及
外延生长Ge,具有厚度(t)、位于所述SiGe的顶部上作为所述源极/漏极堆叠件的上部并且接触所述栅极区中的所述第二Ge鳍的上部。
10.一种用于制造鳍式场效应晶体管(FinFET)器件的方法,所述方法包括:
提供衬底,所述衬底具有第一鳍和位于所述第一鳍之间的隔离区;
使所述第一鳍凹进;
外延生长具有第一晶格常数的第一半导体材料以在凹进的所述第一鳍上形成第二鳍;
在所述第二鳍的一部分上形成伪栅极堆叠件,其中所述第二鳍的这一部分被限定为栅极区;
使所述第二鳍位于所述伪栅极堆叠件旁边的一部分凹进以形成源极/漏极区;
在所述源极/漏极区中外延生长具有第二晶格常数的第二半导体材料,以形成源极/漏极堆叠件的下部并且接触所述栅极区中的所述第二鳍的下部,其中所述第二晶格常数基本上小于所述第一晶格常数;以及
在所述源极/漏极区中外延生长具有第三晶格常数的第三半导体材料,以形成源极/漏极堆叠件的上部并且接触所述栅极区中的所述第二鳍的上部,其中所述第三晶格常数基本上大于所述第二晶格常数。
CN201310020026.8A 2012-09-28 2013-01-18 用于半导体器件的源极/漏极堆叠件压力源 Active CN103715258B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/631,688 US8633516B1 (en) 2012-09-28 2012-09-28 Source/drain stack stressor for semiconductor device
US13/631,688 2012-09-28

Publications (2)

Publication Number Publication Date
CN103715258A true CN103715258A (zh) 2014-04-09
CN103715258B CN103715258B (zh) 2016-08-17

Family

ID=49919233

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310020026.8A Active CN103715258B (zh) 2012-09-28 2013-01-18 用于半导体器件的源极/漏极堆叠件压力源

Country Status (4)

Country Link
US (1) US8633516B1 (zh)
KR (1) KR101438290B1 (zh)
CN (1) CN103715258B (zh)
TW (1) TWI514580B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106373887A (zh) * 2015-07-20 2017-02-01 台湾积体电路制造股份有限公司 用于鳍场效晶体管元件的方法和结构
CN107275216A (zh) * 2016-04-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN107667423A (zh) * 2015-06-24 2018-02-06 英特尔公司 用于高质量界面的替换沟道蚀刻
CN107799410A (zh) * 2016-09-05 2018-03-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN107799409A (zh) * 2016-08-31 2018-03-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN108231889A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 具有垂直结构的2-d材料晶体管
CN113224138A (zh) * 2014-12-29 2021-08-06 台湾积体电路制造股份有限公司 半导体器件

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9553012B2 (en) 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9653461B2 (en) 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9349652B1 (en) 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9768301B2 (en) 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10141310B2 (en) 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10134871B2 (en) 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9647090B2 (en) 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US9425250B2 (en) 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9443729B1 (en) 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9680014B2 (en) 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
US10026830B2 (en) * 2015-04-29 2018-07-17 Stmicroelectronics, Inc. Tunneling field effect transistor (TFET) having a semiconductor fin structure
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9773786B2 (en) 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9564317B1 (en) 2015-12-02 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a nanowire
US9716146B2 (en) 2015-12-15 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9876098B2 (en) 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10453925B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth methods and structures thereof
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10249501B2 (en) * 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
US10164061B2 (en) 2016-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating non-volatile memory device array
US10734522B2 (en) 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9620628B1 (en) 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10269938B2 (en) 2016-07-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a doped passivation layer
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US9853150B1 (en) 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US11152362B2 (en) * 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10879240B2 (en) 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9847334B1 (en) 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US11011634B2 (en) 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9899273B1 (en) 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10665697B2 (en) 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11728344B2 (en) 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135886A1 (en) * 2006-12-08 2008-06-12 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
CN101986423A (zh) * 2009-07-28 2011-03-16 台湾积体电路制造股份有限公司 形成高锗浓度的硅锗应力源的方法及集成电路晶体管结构
CN102005477A (zh) * 2009-09-01 2011-04-06 台湾积体电路制造股份有限公司 集成电路、鳍式场效应晶体管及其制造方法
CN102171794A (zh) * 2008-12-11 2011-08-31 英特尔公司 用于应变半导体器件的渐变高锗化合物膜

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
CN100449783C (zh) * 2005-11-29 2009-01-07 台湾积体电路制造股份有限公司 具有体接触窗的鳍状场效应晶体管及其制造方法
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US8084309B2 (en) * 2009-08-17 2011-12-27 International Business Machines Corporation Extremely thin silicon on insulator (ETSOI) complementary metal oxide semiconductor (CMOS) with in-situ doped source and drain regions formed by a single mask
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8466034B2 (en) * 2010-03-29 2013-06-18 GlobalFoundries, Inc. Method of manufacturing a finned semiconductor device structure
US8361847B2 (en) * 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135886A1 (en) * 2006-12-08 2008-06-12 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
CN102171794A (zh) * 2008-12-11 2011-08-31 英特尔公司 用于应变半导体器件的渐变高锗化合物膜
CN101986423A (zh) * 2009-07-28 2011-03-16 台湾积体电路制造股份有限公司 形成高锗浓度的硅锗应力源的方法及集成电路晶体管结构
CN102005477A (zh) * 2009-09-01 2011-04-06 台湾积体电路制造股份有限公司 集成电路、鳍式场效应晶体管及其制造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113224138A (zh) * 2014-12-29 2021-08-06 台湾积体电路制造股份有限公司 半导体器件
CN107667423A (zh) * 2015-06-24 2018-02-06 英特尔公司 用于高质量界面的替换沟道蚀刻
CN107667423B (zh) * 2015-06-24 2022-02-11 英特尔公司 用于高质量界面的替换沟道蚀刻
CN106373887A (zh) * 2015-07-20 2017-02-01 台湾积体电路制造股份有限公司 用于鳍场效晶体管元件的方法和结构
CN106373887B (zh) * 2015-07-20 2020-07-28 台湾积体电路制造股份有限公司 用于鳍场效晶体管元件的方法和结构
CN107275216A (zh) * 2016-04-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN107799409A (zh) * 2016-08-31 2018-03-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107799410A (zh) * 2016-09-05 2018-03-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN108231889A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 具有垂直结构的2-d材料晶体管
CN108231889B (zh) * 2016-12-15 2022-09-06 台湾积体电路制造股份有限公司 具有垂直结构的2-d材料晶体管

Also Published As

Publication number Publication date
US8633516B1 (en) 2014-01-21
TW201413967A (zh) 2014-04-01
KR101438290B1 (ko) 2014-09-04
TWI514580B (zh) 2015-12-21
CN103715258B (zh) 2016-08-17
KR20140042622A (ko) 2014-04-07

Similar Documents

Publication Publication Date Title
CN103715258A (zh) 用于半导体器件的源极/漏极堆叠件压力源
US10269901B2 (en) Semiconductor liner of semiconductor device
US10490552B2 (en) FinFET device having flat-top epitaxial features and method of making the same
CN103035713B (zh) FinFET器件及其制造方法
KR101646843B1 (ko) Finfet 구조물 및 이의 형성 방법
KR101511413B1 (ko) 반도체 소자의 접점 구조물
US9006786B2 (en) Fin structure of semiconductor device
US8786019B2 (en) CMOS FinFET device
US9159630B1 (en) Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US9093531B2 (en) Fin structure of semiconductor device
TWI688044B (zh) 半導體裝置、鰭式場效電晶體裝置及其製造方法
TW201735265A (zh) 半導體結構及其製造方法
CN106158753A (zh) 半导体器件的结构和方法
CN103928515A (zh) 半导体器件及其制造方法
CN106206437A (zh) FinFET接触结构及其形成方法
CN105304709A (zh) 鳍式场效应晶体管的结构和形成方法
CN103928518A (zh) FinFET器件及其制造方法
CN103681347A (zh) 制造FinFET器件的方法
CN103000506A (zh) 改进的硅化物形成方式及相关器件
CN103474397A (zh) 制造finfet器件的方法
CN106531805A (zh) 互连结构及其制造方法以及使用互连结构的半导体器件
CN106531686A (zh) 互连结构和其制造方法及半导体器件
CN107039508A (zh) 半导体装置
US20230378181A1 (en) Finfet device having flat-top epitaxial features and method of making the same
TWI795779B (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant