CN103000506A - 改进的硅化物形成方式及相关器件 - Google Patents

改进的硅化物形成方式及相关器件 Download PDF

Info

Publication number
CN103000506A
CN103000506A CN2012101004405A CN201210100440A CN103000506A CN 103000506 A CN103000506 A CN 103000506A CN 2012101004405 A CN2012101004405 A CN 2012101004405A CN 201210100440 A CN201210100440 A CN 201210100440A CN 103000506 A CN103000506 A CN 103000506A
Authority
CN
China
Prior art keywords
grid structure
layer
gate electrode
silicide layer
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101004405A
Other languages
English (en)
Other versions
CN103000506B (zh
Inventor
陈宏铭
张志豪
尤志豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103000506A publication Critical patent/CN103000506A/zh
Application granted granted Critical
Publication of CN103000506B publication Critical patent/CN103000506B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith

Abstract

本发明公开了改进的硅化物形成方式及相关器件。一种示例性的方法包括:提供具有在其中的间隔的源极区域和漏极区域的半导体材料;形成栅极结构,该栅极结构介于该源极区域和漏极区域之间;在该栅极结构上方实施栅极替换工艺,从而形成在其中的金属栅电极;在该金属栅电极上方形成硬掩模层;在半导体材料中的相应的源极区域和漏极区域上方形成硅化物层;去除该硬掩模层,从而暴露金属栅电极;以及形成源极接触件和漏极接触件,每个源极接触件和漏极接触件都与硅化物层中相应的一个电连接。

Description

改进的硅化物形成方式及相关器件
技术领域
本发明一般地涉及半导体领域,更具体地来说,涉及改进的硅化物形成方式及相关器件。
背景技术
半导体集成电路(IC)工业经历了快速发展。IC材料和设计的技术进步产生了多个IC时代,其中,每个新时代都具有比先前时代更小且更复杂的电路。然而,这些进步增加了处理和制造IC的复杂性,并且对于将被实现的这些进步,需要IC处理和制造中的类似开发。在IC演进过程中,功能密度(即,每个芯片区域中的互连器件的数量)通常都在增加,同时几何尺寸(即,使用制造工艺可以产生的最小部件(或线))减小。这种规模缩小工艺通常通过增加产量效率和降低相关成本来提供很多益处。这样的规模缩小还产生了相对较高的功率耗散值,这可通过使用诸如互补金属氧化物半导体(CMOS)器件的低功率耗散器件来解决。
由于这种按比例缩小的趋势(例如,由于高纵横比),普遍的制造任务变得更加困难。作为一个实例,一种用于改进源极区域和漏极区域之间的电连接以及相关的源极接触件和漏极接触件之间的电连接的方法在接触孔填充有接触金属之前通过源极和漏极的接触孔对于源极区域和漏极区域执行硅化工艺。然而,当接触孔具有高纵横比时,该接触件穿孔硅化工艺可能更为困难并且很难达到目的。因此,尽管这些方法对于其预期的目的符合要求,但并不能在所有方面都符合要求。
发明内容
为了解决现有技术中所存在的技术问题,根据本发明的一方面,提供了一种方法,包括:提供半导体材料,所述半导体材料具有在其中的间隔的源极区域和漏极区域;形成栅极结构,所述栅极结构介于所述源极区域和所述漏极区域之间;对所述栅极结构实施栅极替换工艺,从而形成在其中的金属栅电极;在所述金属栅电极上方形成硬掩模层;在所述半导体材料中相应的源极区域和漏极区域上方形成硅化物层;去除所述硬掩模层,从而暴露所述金属栅电极;以及形成源极接触件和漏极接触件,每个源极接触件和漏极接触件都与所述硅化物层中相应的一个电连接。
该方法进一步包括:在实施所述栅极替换工艺之前,在所述栅极结构和所述半导体材料上方沉积第一层间介电(ILD)层;以及在形成所述硅化物层之前,去除所述第一ILD层。
该方法进一步包括:在所述硅化物层和所述硬掩模层上方沉积第二ILD层;以及实施化学机械抛光(CMP)工艺,从而平整化所述第二ILD层。
在该方法中,实施所述CMP工艺包括:去除所述硬掩模层。
该方法,进一步包括:去除所述金属栅电极的顶部部分,从而在所述栅极结构中形成开口,以及其中,形成所述硬掩模层包括:在所述开口的内部形成所述硬掩模层。
在该方法中,去除所述金属栅电极的所述顶部部分包括:去除所述金属栅电极的部分,所述金属栅电极的所述部分的厚度在大约5至10纳米范围内。
在该方法中,形成所述硅化物层包括:形成所述硅化物层,使得所述硅化物层从所述栅极结构几乎沿着所述相应的源极区域和漏极区域中的每个的整体向外延伸。
在该方法中,形成所述栅极结构包括:在所述栅极结构的所述侧壁上形成隔离件,以及其中,去除所述硬掩模层包括:去除所述隔离件围绕所述硬掩模层的部分。
根据本发明的另一方面,提供了一种方法,包括:提供半导体衬底;形成从所述衬底中向上延伸的鳍结构,所述鳍结构具有在其中的间隔的源极区域和漏极区域;形成栅极结构,所述栅极结构接合位于所述源极区域和漏极区域之间的所述鳍结构,所述栅极结构具有在其中的伪栅电极;在所述栅极结构和鳍结构上方沉积第一层间介电(ILD)层;去除所述伪栅电极,从而在所述栅极结构中形成沟槽;将金属层沉积到所述沟槽中,从而形成在其中的金属栅电极;去除所述金属栅电极的顶部部分,从而在所述栅极结构中形成开口;在所述开口中形成硬掩模层;去除所述第一ILD层,从而暴露所述鳍结构中的所述源极区域和漏极区域;在所述鳍结构中相应的源极区域和漏极区域上方形成硅化物层;去除所述硬掩模层;以及形成源极接触件和漏极接触件,源极接触件和漏极接触件中的每个都与所述硅化物层中相应的一个电连接。
该方法进一步包括:在所述硅化物层和所述硬掩模层上方沉积第二ILD层;以及实施化学机械抛光(CMP)工艺来平整化所述第二ILD层。
在该方法中,实施所述CMP工艺包括去除所述硬掩模层。
在该方法中,去除所述金属栅电极的所述顶部部分包括:去除所述金属栅电极的部分,所述金属栅电极的所述部分的厚度在大约5至10纳米范围内。
该方法包括:在形成所述栅极结构之后,在所述源极区域和漏极区域中形成外延生长层。
在该方法中,形成所述栅极结构包括:在所述栅极结构的侧壁上形成隔离件,在所述去除所述金属栅电极的所述顶部部分之后,所述隔离件部分地限定所述开口。
在该方法中,去除所述硬掩模层包括去除所述隔离件围绕所述硬掩模层的部分。
在该方法中,形成所述硅化物层包括:形成所述硅化物层,使得所述硅化物层从所述栅极结构几乎沿着所述相应的源极区域和漏极区域中的每个的整体向外延伸。
根据本发明的又一方面,提供了一种半导体器件,包括:半导体衬底;鳍结构,被设置在所述半导体衬底上方并且具有从沟道区域向外延伸的间隔的源极区域和漏极区域,所述沟道区域被限定在所述源极区域和漏极区域之间;栅极结构,被设置在所述鳍结构的部分上方,所述栅极结构接合与所述沟道区域相邻的并且位于所述源极区域和所述漏极区域之间的所述鳍结构;第一硅化物层,被设置在所述鳍结构上方,所述第一硅化物层沿着所述源极区域的顶部部分从所述栅极结构向外延伸;第二硅化物层,被设置在所述鳍结构上方,所述第二硅化物层从所述栅极结构沿着所述漏极区域的顶部部分向外延伸;源极接触件,与所述第一硅化物层电连接并且被配置成将电流传送给所述源极区域;以及漏极接触件,与所述第二硅化物层电连接并且被配置成将电流从所述漏极区域中传送出来。
该器件进一步包括外延生长层,位于所述栅极结构的每个侧面上方的所述鳍结构的所述源极区域和漏极区域中,所述外延生长层对所述沟道区域施加拉伸应变。
该器件进一步包括:隔离件,被设置在所述栅极结构的侧壁上方并且穿过所述鳍结构,所述隔离件介于所述侧壁与所述第一硅化物层和所述第二硅化物层之间。
该器件进一步包括:ILD层,被设置在所述鳍结构和栅极结构上方;源极接触件和漏极接触件,穿过ILD层中的相应的接触孔延伸;以及接触孔,具有高纵横比。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据本发明的各个方面制造集成电路器件的方法的流程图;
图2是根据本发明的各个方面的鳍式场效应晶体管(FinFET)器件的示意性透视图;
图3是沿着线3-3所截取的图2中的FinFET器件的示意性部分截面图;
图4至图12是与图3类似的示意性部分截面图(除了示出在制造的各个阶段中的图2的FinFET器件以外);
图13是根据本发明的另一个实施例的FET器件的示意性部分截面图。
具体实施方式
以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考数字和/或字母。这种重复是用于简化和清楚,并且其本身没有指定所述多个实施例和/或配置之间的关系。
图1是根据本发明的各个方面制造集成电路器件的方法100的流程图。在本实施例中,方法100用于制造集成电路器件,该集成电路器件包括鳍式场效应晶体管(FinFET)器件的。该方法100从框102开始,其中提供了半导体衬底并且在该衬底上方形成了鳍结构。然后,在框104中,临时栅极结构形成在该鳍结构的一部分上方并且在该临时栅极结构的侧壁上形成了隔离件。此外,在框104中,可以将间隔的源极区域和漏极区域形成在该鳍结构中。方法100继续进行框106,其中在鳍结构和临时栅极结构上方沉积了临时ILD层。为了去除该临时栅极结构上方的临时ILD层的部分,还实施化学机械抛光/平整化(CMP)工艺。接下来,在框108中,去除临时栅极结构的部分(即,伪栅电极),从而形成在其中的沟槽。该方法继续进行框110,其中将金属栅电极沉积在沟槽中并且进行部分回蚀,从而在栅极结构中形成开口。然后,在框112中,将硬掩模层沉积在该开口中以及栅极结构的任一侧的临时ILD层上方。然后,利用CMP工艺去除开口外部的硬掩模层的部分。在框114中,通过蚀刻工艺去除临时ILD层,使得暴露鳍结构的下面的源极区域和漏极区域。该方法进行到框116,其中,在栅极结构的任一侧的鳍结构的源极区域和漏极区域上方形成了硅化物层。更具体地,将金属层沉积在源极区域和漏极区域上方,对该金属层和衬底进行退火,从而产生硅化物并且通过蚀刻工艺将任何残留的未反应金属去除。然后,在框118中,在栅极结构和衬底上方沉积另一ILD层,并且实施CMP工艺以从金属栅电极上方去除硬掩模层,并且还对该ILD层进行了平整化。最后,在框120中,形成穿过ILD层的源极接触件和漏极接触件,使得这些接触件与相应的源极区域和漏极区域上方的硅化物层电连接。
可以在方法100之前、之间以及之后提供额外的步骤,并且对于该方法的其他实施例而言,所述这些步骤中的一些步骤可以被替换或删除。例如,可以在框104中的形成伪电极结构之后,在源极区域和漏极区域中外延(epi)生长半导体材料。下面的论述示出了可以根据图1的方法100制造的集成电路器件的各个实施例。
现在,参考图2和图3,图2是根据本发明的各个方面的FinFET器件200的示意性透视图,而图3是沿着图2的线3-3所截取的FinFET器件200的示意性部分截面图。在本发明中,术语FinFET器件指的是任意鳍状晶体管。可以在微处理器、存储器单元和/或其他集成电路器件中包括FinFET器件200。出于清楚目的对图2进行了简化,从而更好地说明本发明的创造性概念。可以在FinFET器件200中添加额外的部件,并且在器件200的其他实施例中,下面所述的一些部件可以被替换或删除。
FinFET器件200包括衬底(晶圆)210。衬底210是体硅衬底。可选地,衬底210包括元素半导体,诸如,晶体结构的硅或锗;化合物半导体,诸如,碳化硅、镓砷、磷化镓、磷化铟、砷化铟和/或锑化铟;或其组合。可选地,衬底210包括:绝缘体上硅(SOI)衬底。可以使用注氧隔离(SIMOX)、晶圆接合和/或其他适当的方法来制造该SOI衬底。衬底210可以包括各种掺杂区域和其他适当的部件。
FinFET器件200包括:从衬底210延伸出来的鳍结构212,诸如,硅(Si)鳍。该鳍结构212通过适当的工艺形成,诸如,光刻工艺和蚀刻工艺。例如,可以通过以下工艺来形成该鳍结构212:形成覆盖衬底的光刻胶层(抗蚀层);将该抗蚀层曝光,从而进行图案化;实施曝光后烘焙工艺;以及将该抗蚀层进行显影,从而形成了包括抗蚀层的掩模元件。然后,可以使用该掩模元件,从而蚀刻硅衬底210中的鳍结构212。可以使用反应离子蚀刻(RIE)和/或其他适当工艺蚀刻该鳍结构212。可选地,可以通过双图案化光刻(DPL)工艺来形成鳍结构212。DPL是通过将图案分成两个交错的图案来构造衬底上方的图案的方法。DPL使得部件(例如,鳍)的密度增大。可以使用各种DPL方法,包括:双曝光(例如,使用两个掩模组),形成邻接部件的隔离件并且去除该部件来提供隔离件图案;抗蚀层稳定和/或其他适当的工艺。
隔离部件213(诸如,浅沟道隔离(STI)结构)围绕鳍结构212并且将鳍结构212与FinFET器件200的其他未示出的鳍隔离开。可以通过利用绝缘材料(诸如,氧化硅、氮化硅、氮氧化硅、其他适当材料或其组合)部分地填充围绕鳍结构212的沟槽(在蚀刻衬底210之后形成的沟槽,从而形成该鳍结构212)来隔离部件213。该填充的沟槽可以具有多层结构,例如,填充沟槽的热氧化衬垫层和氮化硅。
FinFET器件200包括栅极结构214。该栅极结构214横贯鳍结构212,在所述实施例中,该栅极结构形成在鳍结构212的中部。鳍结构214接合鳍结构212的三个表面,顶面和两个邻接的侧面。除了其他层以外,栅极结构214还包括栅极介电层215和金属栅电极216。在所示的实施例中,栅极介电层215包括高k介电材料,但可选地,可以包括其他适当的介电材料,例如:氧化硅或其组合。高k介电材料的实例包括:HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他适当的高k介电材料和/或其组合。金属栅电极包括金属,例如:AL、Cu、Ti、Ta、W、Mo、TaN、NiSi、CoSi、TiN、WN、TiA1、TiA1N、TaCN、TaC、TaSiN、其他导电材料或其组合。可以使用下面将描述的后栅极工艺形成金属栅电极216。在一些实施例中,栅极结构214可以包括:多个其他层,例如:保护层、界面层、扩散层、阻挡层、应变感应层或其组合。
如下所述,栅极结构214通过适当的工艺形成,该工艺包括:沉积、光刻图案化以及蚀刻工艺。沉积工艺包括:化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)金属有机物CVD(MOCVD)、远程等离子体CVD(RPCVD)、等离子体增强CVD(PECVD)、低压CVD(LPCVD)、原子层CVD(ALDCVD)、大气压CVD(APCVD)、电镀、其他适当方法或其组合。光刻图案化工艺包括光刻胶涂覆(例如,旋转)、软烘、掩模对准、曝光、曝光后烘焙、将光刻胶显影、清洗、干燥(例如,硬烘)、其他适当工艺或其组合。可选地,通过其他方法(诸如,无掩模光刻、电子束扫描以及离子束扫描)来实现或替代光刻曝光工艺。在又一个可选方式中,光刻图案化工艺能够实现纳米压印技术。蚀刻工艺包括:干式蚀刻、湿式蚀刻和/或其他蚀刻方法。
如图2和图3所示,隔离件217形成在栅极结构214上方。隔离件217设置在栅极结构214的侧壁上,并且可以将该隔离件视为栅极结构的部分。可以通过适当工艺将隔离件217形成为适当厚度。例如,将介电层(诸如,氧化硅层)均匀沉积在FinFET器件200的上方;并且然后,蚀刻氧化硅层来去除氧化硅层,从而形成隔离件217。可选地,隔离件217包括其他介电材料,诸如,氮化硅、氮氧化硅或其组合。
鳍结构212在最接近栅极结构214的那些部分中包括沟道区域218。鳍结构212进一步包括:沟道区域218的任一侧的掺杂的源极和漏极(S/D)区域219。这些源极区域和漏极区域是具有注入到其中的掺杂物的掺杂区域,该注入到其中的掺杂物符合FinFET器件200的设计要求。在FinFET器件200是NMOS器件的实施例中,源极区域和漏极区域219掺杂有n型掺杂物,例如,磷或砷或其组合,而在FinFET器件200是PMOS器件的实施例中,源极区域和漏极区域219掺杂有p型掺杂物,例如,硼或BF2或其组合。源极区域和漏极区域219包括:设置在鳍结构212的顶部中的硅化物层220。如图2和图3所示,硅化物层220从栅极结构214向外延伸几乎横跨鳍结构212的整个顶部。在本实施例中,硅化物层220是硅化镍(NiSi)层,但在可选的实施例中,该硅化物层可以包括其他类型的金属。另外,在一些实施例中,源极区域和漏极区域219可以包括位于硅化物层220中或附近的外延(epi)生长物,从而使沟道区域218产生应变。
如图3所示,层间介电(ILD)层221来围绕FinFET器件200的鳍结构212和栅极结构214。为了清楚,图2中所示的器件200没有ILD 221。FinFET器件200包括:源极接触件和漏极接触件222(M0),该接触件向下延伸穿过ILD层221并且接合位于源极区域和漏极区域219上方的相应的硅化物层220。该源极接触件和漏极接触件222将FinFET器件200的源极区域和漏极区域219与金属化层和其他半导体器件电连接。在所示实施例中,源极接触件和漏极接触件222由钨形成,但是,在可选实施例中,这些接触件可以由其他导体(诸如,铜或铝)形成。
图4至图12是与图3类似的示意性部分截面图(除了示出在制造的各个阶段中的FinFET器件200以外)。图4至图12所示的制造阶段对应于使用CMOS技术工艺流程制造器件200。应该理解,可以在图4至图12中所示的阶段之前、之间和/或之后提供额外的工艺,并且如果这些工艺是本领域中周所周知的,则将仅对一些选择的工艺进行简要描述。
参考图4,以部分完成状态示出了FinFET器件200,并且已经经历了之前的制造步骤。在所示的实施例中,FinFET器件200经历了上述工艺,从而形成包括源极区域和漏极区域219的鳍结构212以及临时栅极结构250。该临时栅极结构250包括:栅极介电层215以及由隔离件217所围绕的伪多晶硅层252(伪栅电极)。栅极介电层215和伪多晶硅层252可以使用任何适当工艺形成,例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、金属有机物CVD(MOCVD)、远程等离子体CVD(RPCVD)、等离子体增强CVD(PECVD)、电镀、其他适当方法或其组合。在一些实施例中,可以沉积其他层,例如,覆盖层、界面层、扩散层、阻挡层和应变感应层,从而形成临时栅极结构250的一部分。
另外,在一些实施例中,在位于临时栅极结构250的相反面上的鳍结构212上方生长外延生长层。在这种情况下,沟道区域218设置在外延生长层之间,并且随着外延生长层的生长,由于晶格失配可能在沟道区域中产生应变。在FinFET器件200是NMOS器件的实施例中,外延生长层可以是硅或硅碳(Si:C)并且可以掺杂有n型掺杂物,例如,磷或砷,从而形成了源极区域和漏极区域。在FinFET器件200是PMOS器件的实施例中,外延生长层可以是硅锗(SiGe)并且可以掺杂有p型掺杂物,诸如,硼,从而形成了源极区域和漏极区域。另外,如图4所示,临时ILD层254沉积在鳍结构212和临时栅极结构250上方,并且已经完成了CMP工艺以平整化ILD层254,使得该ILD层与临时栅极结构的顶部共面。
现参考图5和图6,实施栅极替换工艺,其中,将临时栅极结构250的顶层去除并替换成金属栅电极。更具体地,图5示出了在去除了伪多晶硅层252(伪栅电极)之后的临时栅极结构250。可以通过适当的工艺(诸如,干蚀刻工艺和/或湿蚀刻工艺)将伪多晶硅层252从临时的栅极结构250中去除。在将层250蚀刻掉之后,栅极介电层215的顶部和隔离件217的内表面分别限定沟槽260的底面和侧面。接下来,如图6中所示,沟槽260完全地填充有金属填充层(未示出),从而形成了金属栅电极216。金属栅电极216通过沉积铝或其他导电材料(诸如,铜、钨或钛)而形成。在一些实施例中,填充沟槽260包括:在沉积金属填充层之前沉积功函层。接下来,在沟槽260完全地填充有金属填充层之后,实施蚀刻工艺,从而去除金属栅电极216的一部分。在所示实施例中,从金属栅电极216的顶部蚀刻掉大约5-10纳米(nm),从而形成了大约有5-10nm深的开口262。在可选实施例中,可以将更多或更少的金属栅电极蚀刻掉。
现参考图7和图8,为了保护金属栅电极216,将硬掩模沉积在栅极结构上方。具体地,如图7所示,将硬掩模材料层264沉积在开口262中以及临时ILD层254上方。在本实施例中,该硬掩模材料是氮化硅(SiN),但在可选实施例中,该硬掩模材料可以是硅碳(SiC)、氮氧化硅(SiON)或其他适当材料。接下来,如图8所示,实施CMP工艺,从而去除位于开口262外部的硬掩模材料层264的部分。在实施CMP工艺之后,硬掩模盖266(即,硬掩模264的一部分)保留在金属栅电极层216上方以及隔离件217之间。硬掩模盖266保护金属栅电极216不受后续工艺的损害。
现参考图9,临时的ILD层254被蚀刻掉,使得暴露鳍结构212中的源极区域和漏极区域219。由于硬掩模盖266的保护,金属栅电极216保持完整无缺。接下来,在图10中,在FinFET器件200上方实施硅化工艺。具体地,实施硅化工艺,诸如自对准的硅化物(自对准硅化物)工艺从而在任意暴露的硅表面上生长出硅化物层。具体地,将诸如镍(Ni)的金属材料至少沉积在鳍结构212上方,升高温度来使得镍与任何暴露给镍的硅发生反应,然后,将任何未反应的镍蚀刻掉。在硅化期间,硅化物层220形成在源极区域和漏极区域219中。由于在硅化工艺期间暴露整个源极区域和漏极区域,所以所形成的硅化物层220几乎横跨这些区域的整个长度,这些区域包括大约与沟道区域218邻接的源极和漏极的部分。为了防止损害,硬掩模盖266在硅化期间保留在金属栅电极216上。在可选实施例中,可以将镍以外的其他材料用在该硅化工艺中。
现参考图11,完成了栅极结构214。首先,将ILD层221沉积在鳍结构212和硬掩模盖266上方。然后,实施CMP工艺来平整化ILD层221和金属栅电极216的顶部。具体地,在CMP工艺期间,将硬掩模盖266与隔离件217的顶部部分一起去除。最后,在图12中,利用额外的介电材料扩大ILD层221,并且形成了穿过该ILD层的源极接触件和漏极接触件222。更具体地,在扩大ILD层221之后,蚀刻接触孔,该接触孔穿过ILD层向下到达硅化物层220。在所示实施例中,该接触孔具有高纵横比(高度与宽度的比例)。在一些实施例中,接触孔的纵横比可以大于大约3,但在其他实施例中,可以处于大约1和3之间。然后,为了形成源极接触件和漏极接触件222,将金属(诸如,钨)沉积在接触孔中,该接触孔向下到达硅化物层220。在形成完毕时,源极接触件和漏极接触件222与硅化物层220电连接。与以前设计相比较,其中,通过高纵横比接触孔实施源极区域和漏极区域的硅化,器件200中的源极接触件和漏极接触件222可以与源极区域和漏极区域219更好的电连接。在操作期间,当FinFET器件经由栅极结构214“接通”时,电流可以向下流经源极接触件222,穿过沟道区域218,并且然后向上流向漏极接触件。值得注意的是,当电流在源极接触件以及漏极接触件222和沟道区域218之间流动,而不流经硅化物层下面的源极区域和漏极区域219的电阻更大的部分时,该电流可以水平地流经硅化物层220。以这种方式,与之前的使用接触孔硅化工艺的设计相比较,可以减小FInFET器件200中的操作电阻。
可以理解,FinFET器件200可以进行其他处理来完成制造。例如,为了电连接形成集成电路的各个器件部分,可以在鳍结构212和栅极结构214上方形成未示出的多层互连(MLI),该多层互连包括金属层(例如,M1、M2等)和金属件介电层(IMD)。该多层互连包括垂直互连,诸如,通常的通孔或接触件,以及水平互连,诸如,金属线。各种互连部件可以使用包括铜、钨和硅化物的各种导电材料。在一个实例中,使用镶嵌工艺来形成铜多层互连结构。
图13是根据本发明的另一个实施例的FET器件300的示意性部分截面图。FET器件300与FinFET器件200类似,其中,FET器件300包括半导体衬底310和栅极结构314;然而,在FET器件300中,栅极结构314设置在半导体衬底上方,来替代鳍结构延伸到衬底外部。FET器件300的栅极结构314也与FinFET器件200的栅极结构类似,其中,栅极结构314包括高k栅极介电层315、金属栅电极316以及隔离件317。另外,在FET器件300中,将沟道区域设置在衬底310中并且介于源极区域和漏极区域319之间。在一些实施例中,源极区域和漏极区域319可以包括外延生长层,该外延生长层由适合使沟道区域318产生应变的材料形成。与在FinFET器件200中一样,将硅化物层320设置在源极区域和漏极区域319中,并且从栅极结构314几乎沿着相应的源极区域和漏极区域中的每个的整体向外延伸。另外,将ILD层321设置在栅极结构和半导体衬底310上方,并且源极接触件和漏极接触件322穿过ILD层321延伸并且接合的硅化物层320中的相应一个。最后,可以通过与图4至图12所描绘的工艺类似的工艺来形成FET器件300中的部件,这些部件都与FinFET器件200中的部件(例如,硅化物层、栅极结构、源极接触件以及漏极接触件等)类似。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。例如,即使所示的实施例以FinFET器件为特征,也可以将上面论述的概念应用于其他半导体器件。例如,本领域的普通技术人员可以理解,可以将图4至图12中所论述的工艺应用于不带有鳍式部件的标准CMOS晶体管(诸如,图13中的FET器件300)从而达到改进与其他集成电路部件的电连接的效果。在这种情况下,可以将源极区域和漏极区域形成在平坦的半导体衬底中,该半导体衬底具有形成在该衬底上方的位于源极区域和漏极区域之间的栅极结构。另外,在一些实施例中,可以稍微改变以上工艺,从而将其应用于两个邻接的互补FinFET器件,例如,NMOS FinFET和PMOS FinFET。在这种情况下,每个FinFET都可以填充有适当类型的金属(n金属或p金属)并且如图6所示,进行部分回蚀。然后,可以将图7至图12中所示的剩余工艺应用于每个互补FinFET。本领域的技术人员还应该意识到,这种等效构造并不背离本发明的主旨和范围,并且在不背离本发明的主旨和范围的情况下,可以进行多种变化、替换以及改变。
本发明提供了许多不同的实施例。不同的实施例可以具有不同的优点,特定的优点对任何实施例而言都不是必须的。在实例中,一种方法包括:提供在其中具有间隔的源极区域和漏极区域的半导体材料;形成介于该源极区域和漏极区域之间的栅极结构;在该栅极结构上方实施栅极替换工艺,从而形成在其中的金属栅电极;在该金属栅电极上方形成硬掩模层;半导体材料中的相应的源极区域和漏极区域上方形成硅化物层;去除该硬掩模层,从而暴露金属栅电极;以及形成源极接触件和漏极接触件,每个源极接触件和漏极接触件都与硅化物层中相应的一个电连接。
在实例中,该方法可以额外地包括:在实施栅极替换工艺之前在栅极结构和半导体材料上方沉积第一层间介电(ILD)层;以及在形成硅化物层之前去除第一ILD层。该方法还可以包括:在硅化物层和硬掩模层上方沉积第二ILD层;以及实施化学机械抛光(CMP)工艺,从而平整化第二ILD层。实施CMP工艺可以包括去除硬掩模层。该方法可以额外包括:去除金属栅电极的顶部部分,从而在栅极结构中形成开口,并且形成硬掩模层可以包括在开口的内部形成硬掩模层。去除金属栅电极的顶部部分可以包括去除金属栅电极的部分,该部分具有大约在5至10纳米范围内的厚度。形成硅化物层可以包括形成硅化物层,使得该硅化物层从栅极结构几乎沿着相应的源极区域和漏极区域中的每个的整体向外延伸。形成栅极结构可以包括在栅极结构的侧壁上形成隔离件,并且去除硬掩模层可以包括去除隔离件围绕该硬掩模层的部分。
在另一个实例中,一种方法包括:提供半导体衬底;形成从衬底向外延伸的鳍结构,该鳍结构具有在其中的间隔的源极区域和漏极区域;形成接合位于源极区域和漏极区域之间的鳍结构的栅极结构,该栅极结构具有在其中的伪栅电极;在该栅极结构和鳍结构上方沉积第一层间介电(ILD)层;去除该伪栅电极,从而在该栅极结构中形成沟槽;将金属层沉积到沟槽中,从而形成在其中的金属栅电极;去除金属栅电极的顶部部分,从而在该栅极结构中形成开口;在该开口中形成硬掩模;去除第一ILD层,从而暴露出鳍结构中的源极区域和漏极区域;在鳍结构中的相应的源极区域和漏极区域上方形成硅化物层;去除该硬掩模层;以及形成源极接触件和漏极接触件,每个源极接触件和漏极接触件都与该硅化物层中相应的一个电连接。该方法可以包括:在硅化物层和硬掩模层上方沉积第二ILD层,以及实施化学机械抛光(CMP)工艺,从而平整化该第二ILD层。实施CMP工艺可以包括去除硬掩模层。去除金属栅电极的顶部部分可以包括去除金属栅电极的部分,该部分的厚度在大约5至10纳米范围内。该方法还包括在形成栅极结构之后,在源极区域和漏极区域中形成外延生长层。形成栅极结构可以包括在栅极结构的侧壁上形成隔离件,在去除了金属栅电极的顶部部分之后,该隔离件部分地限定开口。去除硬掩模层可以包括去除隔离件围绕硬掩模层的部分。形成硅化物层可以包括形成硅化物层,使得该硅化物层从栅极结构几乎沿着相应的源极区域和漏极区域中的每个的整体向外延伸。
在又一个实例中,一种半导体器件包括:半导体衬底;鳍结构,设置在该半导体衬底上方并且具有从沟道区域向外延伸的间隔的源极区域和漏极区域的,该沟道区域被限定在源极区域和漏极区域之间;栅极结构,沉积在鳍结构的部分上方,该栅极结构接合与沟道区域邻接并且位于源极区域和漏极区域之间的鳍结构;第一硅化物层,设置在鳍结构上方,该第一硅化物层从栅极结构沿着源极区域的顶部部分向外延伸;第二硅化物层,设置在鳍结构上方,该第二硅化物层从栅极结构沿着漏极区域的顶部部分向外延伸;源极接触件,与第一硅化物层电连接并且被配置成将电流传送给源极区域;以及漏极接触件,与第二硅化物层电连接并且被配置成将电流从漏极区域中传送出来。该器件可以包括外延生长层,位于栅极结构的每个侧面上方的鳍结构的源极区域和漏极区域中,该外延生长层对沟道区域施加拉伸应变。该器件还可以包括隔离件,被设置在栅极结构的侧壁上并且穿过鳍结构,该隔离件介于侧壁与第一硅化物层和第二硅化物层之间。该器件可以额外地包括:ILD层,被设置在鳍结构和栅极结构上方;源极接触件和漏极接触件,穿过ILD层中的相应的接触孔延伸;以及接触孔,具有高纵横比。

Claims (10)

1.一种方法,包括:
提供半导体材料,所述半导体材料具有在其中的间隔的源极区域和漏极区域;
形成栅极结构,所述栅极结构介于所述源极区域和所述漏极区域之间;
对所述栅极结构实施栅极替换工艺,从而形成在其中的金属栅电极;
在所述金属栅电极上方形成硬掩模层;
在所述半导体材料中相应的源极区域和漏极区域上方形成硅化物层;
去除所述硬掩模层,从而暴露所述金属栅电极;以及
形成源极接触件和漏极接触件,每个源极接触件和漏极接触件都与所述硅化物层中相应的一个电连接。
2.根据权利要求1所述的方法,进一步包括:
在实施所述栅极替换工艺之前,在所述栅极结构和所述半导体材料上方沉积第一层间介电(ILD)层;以及
在形成所述硅化物层之前,去除所述第一ILD层。
3.根据权利要求2所述的方法,进一步包括:
在所述硅化物层和所述硬掩模层上方沉积第二ILD层;以及
实施化学机械抛光(CMP)工艺,从而平整化所述第二ILD层。
4.根据权利要求3所述的方法,其中,实施所述CMP工艺包括:去除所述硬掩模层。
5.根据权利要求1所述的方法,
进一步包括:去除所述金属栅电极的顶部部分,从而在所述栅极结构中形成开口,以及
其中,形成所述硬掩模层包括:在所述开口的内部形成所述硬掩模层。
6.根据权利要求5所述的方法,其中,去除所述金属栅电极的所述顶部部分包括:去除所述金属栅电极的部分,所述金属栅电极的所述部分的厚度在大约5至10纳米范围内。
7.根据权利要求1所述的方法,其中,形成所述硅化物层包括:形成所述硅化物层,使得所述硅化物层从所述栅极结构几乎沿着所述相应的源极区域和漏极区域中的每个的整体向外延伸。
8.根据权利与1所述的方法,
其中,形成所述栅极结构包括:在所述栅极结构的所述侧壁上形成隔离件,以及
其中,去除所述硬掩模层包括:去除所述隔离件围绕所述硬掩模层的部分。
9.一种方法,包括:
提供半导体衬底;
形成从所述衬底中向上延伸的鳍结构,所述鳍结构具有在其中的间隔的源极区域和漏极区域;
形成栅极结构,所述栅极结构接合位于所述源极区域和漏极区域之间的所述鳍结构,所述栅极结构具有在其中的伪栅电极;
在所述栅极结构和鳍结构上方沉积第一层间介电(ILD)层;
去除所述伪栅电极,从而在所述栅极结构中形成沟槽;
将金属层沉积到所述沟槽中,从而形成在其中的金属栅电极;
去除所述金属栅电极的顶部部分,从而在所述栅极结构中形成开口;
在所述开口中形成硬掩模层;
去除所述第一ILD层,从而暴露所述鳍结构中的所述源极区域和漏极区域;
在所述鳍结构中相应的源极区域和漏极区域上方形成硅化物层;
去除所述硬掩模层;以及
形成源极接触件和漏极接触件,源极接触件和漏极接触件中的每个都与所述硅化物层中相应的一个电连接。
10.一种半导体器件,包括:
半导体衬底;
鳍结构,被设置在所述半导体衬底上方并且具有从沟道区域向外延伸的间隔的源极区域和漏极区域,所述沟道区域被限定在所述源极区域和漏极区域之间;
栅极结构,被设置在所述鳍结构的部分上方,所述栅极结构接合与所述沟道区域相邻的并且位于所述源极区域和所述漏极区域之间的所述鳍结构;
第一硅化物层,被设置在所述鳍结构上方,所述第一硅化物层沿着所述源极区域的顶部部分从所述栅极结构向外延伸;
第二硅化物层,被设置在所述鳍结构上方,所述第二硅化物层从所述栅极结构沿着所述漏极区域的顶部部分向外延伸;
源极接触件,与所述第一硅化物层电连接并且被配置成将电流传送给所述源极区域;以及
漏极接触件,与所述第二硅化物层电连接并且被配置成将电流从所述漏极区域中传送出来。
CN201210100440.5A 2011-09-08 2012-04-06 改进的硅化物形成方式及相关器件 Active CN103000506B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/227,979 US8466027B2 (en) 2011-09-08 2011-09-08 Silicide formation and associated devices
US13/227,979 2011-09-08

Publications (2)

Publication Number Publication Date
CN103000506A true CN103000506A (zh) 2013-03-27
CN103000506B CN103000506B (zh) 2016-03-23

Family

ID=47829058

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210100440.5A Active CN103000506B (zh) 2011-09-08 2012-04-06 改进的硅化物形成方式及相关器件

Country Status (3)

Country Link
US (4) US8466027B2 (zh)
KR (1) KR101334465B1 (zh)
CN (1) CN103000506B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104112665A (zh) * 2013-04-22 2014-10-22 中国科学院微电子研究所 半导体器件及其制造方法
CN104157570A (zh) * 2013-05-15 2014-11-19 中芯国际集成电路制造(上海)有限公司 一种高压晶体管及其制备方法
CN104217964A (zh) * 2013-06-05 2014-12-17 中芯国际集成电路制造(上海)有限公司 导电插塞的形成方法
CN112018035A (zh) * 2019-05-31 2020-12-01 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
EP2761664A4 (en) * 2011-09-30 2015-06-17 Intel Corp DIELECTRIC RECOVERY STRUCTURE FOR TRANSISTOR GRIDS
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
WO2013048449A1 (en) 2011-09-30 2013-04-04 Intel Corporation Tungsten gates for non-planar transistors
DE112011105702T5 (de) 2011-10-01 2014-07-17 Intel Corporation Source-/Drain-Kontakte für nicht planare Transistoren
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
US8901665B2 (en) * 2011-12-22 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US8912606B2 (en) * 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US9059142B2 (en) * 2012-07-23 2015-06-16 Nanya Technology Corporation Semiconductor device having vertical gates and fabrication thereof
US8928090B2 (en) * 2012-10-31 2015-01-06 International Business Machines Corporation Self-aligned contact structure for replacement metal gate
US9029208B2 (en) * 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
US9786783B2 (en) * 2013-03-29 2017-10-10 Intel Corporation Transistor architecture having extended recessed spacer and source/drain regions and method of making same
US9209086B2 (en) * 2013-07-22 2015-12-08 Globalfoundries Inc. Low temperature salicide for replacement gate nanowires
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US9177956B2 (en) * 2013-07-31 2015-11-03 Globalfoundries Inc. Field effect transistor (FET) with self-aligned contacts, integrated circuit (IC) chip and method of manufacture
US9276115B2 (en) * 2013-08-29 2016-03-01 Globalfoundries Inc. Semiconductor devices and methods of manufacture
US9099559B2 (en) 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9455343B2 (en) * 2013-09-27 2016-09-27 Intel Corporation Hybrid phase field effect transistor
US9059164B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US9159617B2 (en) * 2014-01-24 2015-10-13 Globalfoundries Inc. Structure and method of forming silicide on fins
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9299780B2 (en) 2014-03-26 2016-03-29 International Business Machines Corporation Constrained epitaxial source/drain regions on semiconductor-on-insulator finFET device
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9461055B2 (en) 2014-05-16 2016-10-04 Qualcomm Incorporated Advanced metal-nitride-oxide-silicon multiple-time programmable memory
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9312173B2 (en) * 2014-05-19 2016-04-12 International Business Machines Corporation Self-limiting silicide in highly scaled fin technology
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9754935B2 (en) 2014-08-07 2017-09-05 International Business Machines Corporation Raised metal semiconductor alloy for self-aligned middle-of-line contact
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
US9887100B2 (en) * 2014-10-03 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices and structures thereof
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9324820B1 (en) 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9613958B2 (en) * 2015-06-10 2017-04-04 International Business Machines Corporation Spacer chamfering gate stack scheme
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US10038096B2 (en) * 2015-09-08 2018-07-31 Globalfoundries Inc. Three-dimensional finFET transistor with portion(s) of the fin channel removed in gate-last flow
US9721896B2 (en) * 2015-09-11 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
WO2017111796A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Fabrication of wrap-around and conducting metal oxide contacts for igzo non-planar devices
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10074563B2 (en) * 2016-07-29 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10296148B2 (en) * 2016-08-31 2019-05-21 Synaptics Incorporated Full-bridge strain-gauge array of finger thermal compensation
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10141305B2 (en) 2016-09-15 2018-11-27 Qualcomm Incorporated Semiconductor devices employing field effect transistors (FETs) with multiple channel structures without shallow trench isolation (STI) void-induced electrical shorts
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10510903B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Impact ionization semiconductor device and manufacturing method thereof
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US11444173B2 (en) * 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
KR20200083981A (ko) * 2017-11-30 2020-07-09 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1591803A (zh) * 2003-08-28 2005-03-09 国际商业机器公司 使用镶嵌栅极工艺的应变硅沟道mosfet
US20070122953A1 (en) * 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with High-Permittivity Dielectric Isolation Material
US20070257303A1 (en) * 2006-05-04 2007-11-08 Samsung Electronics., Ltd. Transistor and method for forming the same
CN101621073A (zh) * 2008-06-30 2010-01-06 索尼株式会社 半导体器件及半导体器件的制造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
JP3539491B2 (ja) 2001-02-26 2004-07-07 シャープ株式会社 半導体装置の製造方法
JPWO2004097943A1 (ja) * 2003-04-28 2006-07-13 松下電器産業株式会社 半導体装置とその製造方法
US7183184B2 (en) * 2003-12-29 2007-02-27 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100578818B1 (ko) 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US8435845B2 (en) * 2011-04-06 2013-05-07 International Business Machines Corporation Junction field effect transistor with an epitaxially grown gate structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1591803A (zh) * 2003-08-28 2005-03-09 国际商业机器公司 使用镶嵌栅极工艺的应变硅沟道mosfet
US20070122953A1 (en) * 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with High-Permittivity Dielectric Isolation Material
US20070257303A1 (en) * 2006-05-04 2007-11-08 Samsung Electronics., Ltd. Transistor and method for forming the same
CN101621073A (zh) * 2008-06-30 2010-01-06 索尼株式会社 半导体器件及半导体器件的制造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104112665A (zh) * 2013-04-22 2014-10-22 中国科学院微电子研究所 半导体器件及其制造方法
CN104112665B (zh) * 2013-04-22 2018-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN104157570A (zh) * 2013-05-15 2014-11-19 中芯国际集成电路制造(上海)有限公司 一种高压晶体管及其制备方法
CN104217964A (zh) * 2013-06-05 2014-12-17 中芯国际集成电路制造(上海)有限公司 导电插塞的形成方法
CN112018035A (zh) * 2019-05-31 2020-12-01 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN112018035B (zh) * 2019-05-31 2023-09-12 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
CN103000506B (zh) 2016-03-23
US20130280899A1 (en) 2013-10-24
US8686516B2 (en) 2014-04-01
KR101334465B1 (ko) 2013-11-29
US20140001574A1 (en) 2014-01-02
KR20130027982A (ko) 2013-03-18
US20130062669A1 (en) 2013-03-14
US8623721B2 (en) 2014-01-07
US20140179077A1 (en) 2014-06-26
US8466027B2 (en) 2013-06-18
US9214558B2 (en) 2015-12-15

Similar Documents

Publication Publication Date Title
CN103000506B (zh) 改进的硅化物形成方式及相关器件
CN103035713B (zh) FinFET器件及其制造方法
CN103050530B (zh) FinFET器件及其制造方法
CN102468235B (zh) 鳍片场效应晶体管(finfet)器件及其制造方法
US8609495B2 (en) Hybrid gate process for fabricating finfet device
CN103578954B (zh) 具有金属栅极的半导体集成电路
CN103456736B (zh) 半导体器件及其形成方法
US20160247901A1 (en) Semiconductor device having a strained region
CN105448863A (zh) 具有接触插塞的半导体结构
US10192985B2 (en) FinFET with doped isolation insulating layer
CN103715258A (zh) 用于半导体器件的源极/漏极堆叠件压力源
CN103474397A (zh) 制造finfet器件的方法
US11532519B2 (en) Semiconductor device and method
US9496259B2 (en) FinFET semiconductor device having fins with stronger structural strength
TWI697985B (zh) 半導體裝置及其製造方法
US11222951B2 (en) Epitaxial source/drain structure and method
CN106531686A (zh) 互连结构和其制造方法及半导体器件
CN107665825A (zh) 半导体器件以及PMOS FET的源极/漏极结构和PMOS FinFET的制造方法
TW202020986A (zh) 半導體裝置
TW202016983A (zh) 積體電路結構的形成方法和半導體結構
CN113140508A (zh) 半导体装置的制造方法
KR102279471B1 (ko) 에피택셜 소스/드레인 구조물 및 방법
CN108447823A (zh) 一种半导体器件及其制造方法和电子装置
CN113284803A (zh) 形成半导体器件的方法
TWI827115B (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant