KR101334465B1 - 개선된 실리사이드 형성 및 연관된 장치 - Google Patents

개선된 실리사이드 형성 및 연관된 장치 Download PDF

Info

Publication number
KR101334465B1
KR101334465B1 KR1020120032453A KR20120032453A KR101334465B1 KR 101334465 B1 KR101334465 B1 KR 101334465B1 KR 1020120032453 A KR1020120032453 A KR 1020120032453A KR 20120032453 A KR20120032453 A KR 20120032453A KR 101334465 B1 KR101334465 B1 KR 101334465B1
Authority
KR
South Korea
Prior art keywords
forming
layer
source
gate structure
gate electrode
Prior art date
Application number
KR1020120032453A
Other languages
English (en)
Other versions
KR20130027982A (ko
Inventor
흥밍 첸
치하오 창
치하오 유
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130027982A publication Critical patent/KR20130027982A/ko
Application granted granted Critical
Publication of KR101334465B1 publication Critical patent/KR101334465B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate

Abstract

개선된 실리사이드 형성 및 연관된 장치가 개시된다. 예시적인 방법은 내부에 이격된 소스 영역 및 드레인 영역을 갖는 반도체 물질을 제공하는 단계; 소스 영역 및 드레인 영역 사이에 개재된 게이트 구조를 형성하는 단계; 내부에 금속 게이트 전극을 형성하기 위해서 게이트 구조 상에서 게이트 교체 공정을 수행하는 단계; 금속 게이트 전극 위에 하드 마스크층을 형성하는 단계; 반도체 물질의 각각의 소스 영역 및 드레인 영역에서 실리사이드층을 형성하는 단계; 금속 게이트 전극을 노출하기 위해 하드 마스크층을 제거하는 단계; 및 소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택은 실리사이드층들 중 각각 하나의 실리사이드층에 전도성 있게 결합되는 것인, 소스 콘택 및 드레인 콘택을 형성하는 단계를 포함한다.

Description

개선된 실리사이드 형성 및 연관된 장치{IMPROVED SILICIDE FORMATION AND ASSOCIATED DEVICES}
본 발명은 집적 회로(IC) 장치에 관한 것이다.
반도체 집적 회로(IC) 산업은 급속한 성장을 이루었다. IC 재료 및 설계에서 기술적 진보는 IC 세대를 만들었고, 각각의 새로운 세대는 이전 세대보다 더욱 작고 더욱 복잡한 회로를 갖는다. 그러나, 이러한 진보는 IC 제조 및 처리의 복잡성을 증가시키고, 이러한 진보를 실현하기 위해, IC 제조 및 처리에서 유사한 개발이 필요하다. 집적 회로 진화 동안에, 기하학적 크기(즉, 제조 공정을 이용하여 생성될 수 있는 가장 작은 컴포넌트 또는 라인)는 감소한 반면, 기능 밀도(즉, 칩 영역당 상호접속된 장치의 수)는 일반적으로 증가하였다. 이러한 축소는 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이점을 제공한다. 이와 같은 축소는 또한 비교적 높은 소비 전력 값을 생성하고, 이것은 상보형 금속 산화물 반도체(complementary metal-oxide-semiconductor; CMOS) 장치와 같은 낮은 소비 전력 장치를 이용하여 다뤄질 수 있다.
이러한 축소 추세로 인해, 공통 제조 작업은 예를 들어, 높은 종횡비(aspect ratio)로 인해 더욱 어려워지고 있다. 일례로서, 소스 영역 및 드레인 영역과 연관된 소스 콘택(contact) 및 드레인 콘택 사이의 전기 접속을 개선하기 위한 하나의 방식은 이들이 콘택 금속으로 충진(fill)되기 전에 소스 콘택 홀(contact hole) 및 드레인 콘택 홀을 통해 소스 영역 및 드레인 영역 상에서 실리사이드화 공정(silicidation process)을 수행하는 것이었다. 그러나, 이러한 쓰루 콘택 홀(through-contact-hole) 실리사이드화 공정은 콘택 홀이 높은 종횡비를 갖는 경우 더욱 어렵고 덜 성공적일 수 있다. 따라서, 이러한 방식들은 자신의 의도된 목적에는 만족스럽지만, 이 방식들이 모든 면에서 만족스러운 것은 아니다.
본 발명의 목적은 개선된 실리사이드를 형성하고 연관된 장치를 제공하는 것이다.
개선된 실리사이드 형성 및 연관된 장치가 개시된다. 예시적인 방법은 이격된 소스 영역 및 드레인 영역을 갖는 반도체 물질을 제공하는 단계; 소스 영역 및 드레인 영역 사이에 개재된 게이트 구조를 형성하는 단계; 금속 게이트 전극을 형성하기 위해서 게이트 구조 상에서 게이트 교체 공정을 수행하는 단계; 금속 게이트 전극 위에 하드 마스크층을 형성하는 단계; 반도체 물질로 각각의 소스 영역 및 드레인 영역에서 실리사이드층을 형성하는 단계; 금속 게이트 전극을 노출하기 위해 하드 마스크층을 제거하는 단계; 및 소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택은 실리사이드층들 중 각각 하나의 실리사이드층에 전도성 있게 결합되는 것인, 소스 콘택 및 드레인 콘택을 형성하는 단계를 포함한다.
본 발명에 따르면, 개선된 실리사이드를 형성하고 연관된 장치를 제공하는 것이 가능하다.
본 발명개시는 첨부 도면들과 함께 아래의 상세한 설명을 읽음으로써 가장 잘 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들은 실척도로 도시되지 않았고 단지 예시를 목적으로 이용됨을 강조한다. 사실, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 발명개시의 다양한 양태에 따라 집적 회로 장치를 제조하는 방법의 흐름도이다.
도 2는 본 발명개시의 다양한 양태에 따른 핀 전계 효과 트랜지스터(fin-like field effect transistor; FinFET) 장치의 개략적인 투시도이다.
도 3은 라인(3-3)을 따라 취해진 도 2의 FinFET 장치의 개략적인 단편적 단면도이다.
도 4 내지 12는 여러 제조 단계 동안에 도 3과 유사한지만 도 2의 FinFET 장치를 도시하는 개략적인 단편적 단면도이다.
도 13은 본 발명개시의 다른 실시예에 따른 FinFET 장치의 개략적인 단편적 단면도이다.
다음의 발명개시는 본 발명의 상이한 피처(feature)들을 구현하는 다수의 상이한 실시예들, 또는 예들을 제공한다. 컴포넌트 및 배치의 특정한 예들은 본 개시를 단순화하기 위해 이하에 설명된다. 물론, 이러한 설명은 단지 예일 뿐 제한하기 위한 것이 아니다. 예를 들어, 이어지는 설명에서 제2 피처 위에 제1 피처의 형성은, 제1 피처 및 제2 피처가 직접 접촉하여 형성되는 실시예를 포함하고, 제1 피처 및 제2 피처가 직접 접촉하여 형성되지 않도록 제1 피처와 제2 피처 사이에 부가적인 피처들이 형성되는 실시예들을 또한 포함할 수 있다. 게다가, 본 개시는 다양한 예들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순함과 명료함을 위한 것으로, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 사의의 관계를 지시하지 않는다.
도 1은 본 발명개시의 다양한 양태에 따라 집적 회로 장치를 제조하는 방법(100)의 흐름도이다. 본 실시예에서, 방법(100)은 핀 전계 효과 트랜지스터(FinFET) 장치를 포함하는 집적 회로 장치를 제조하는 것이다. 방법(100)은 블록(102)에서 시작하고, 이 블록에서 반도체 기판이 제공되고 핀 구조가 기판 위에 형성된다. 그리고 나서, 블록(104)에서, 임시 게이트 구조가 핀 구조의 일부 위에 형성되고, 스페이서가 임시 게이트 구조의 측벽 상에 형성된다. 또한, 이격된 소스 영역 및 드레인 영역이 블록(104)에서 핀 구조에 형성될 수 있다. 방법(100)은 블록(106)으로 계속 진행하고, 이 블록에서 임시 ILD 층이 핀 구조 및 임시 게이트 구조 위에 성막(deposit)된다. 화학적 기계적 연마/평탄화(chemical-mechanical polishing/planarization; CMP) 공정이 또한 수행되어 임시 게이트 구조 위의 임시 ILD 층의 일부를 제거한다. 다음으로, 블록(108)에서, 임시 게이트 구조의 일부(즉, 더미 게이트 전극)이 제거되어 그 안에 트렌치를 형성한다. 방법은 블록(110)으로 계속 진행하고, 이 블록에서 금속 게이트 전극이 트렌치 내에 성막되고 게이트 구조에 개구부를 형성하기 위해 부분적으로 에치백(etch back)된다. 그리고 나서, 블록(112)에서, 하드 마스크층이 개구부 내에 그리고 게이트 구조의 양측에서 임시 ILD 층 위에 성막된다. 그리고 나서, 개구부 바깥쪽의 하드 마스크층의 일부는 CMP 공정으로 제거된다. 블록(114)에서, 임시 ILD 층은 에칭 공정에 의해 제거되어 핀 구조의 밑에 있는 소스 영역 및 드레인 영역이 노출되도록 한다. 방법은 블록(116)으로 진행하고, 이 블록에서 실리사이드층이 게이트 구조의 양측에서 핀 구조의 소스 영역 및 드레인 영역 상에 형성된다. 보다 구체적으로, 금속층이 소스 영역 및 드레인 영역 상에 성막되고, 금속층 및 기판은 실리사이드를 생성하기 위해 어닐링(anneal)되고, 임의의 잔여 비반응성 금속은 에칭 공정에 의해 제거된다. 다음으로, 블록(118)에서, 다른 ILD 층이 게이트 구조 및 기판 위에 성막되고, CMP 공정이 수행되어 금속 게이트 전극 위에서부터 하드 마스크층을 제거하고 또한 ILD 층을 평탄화한다. 마지막으로, 블록(120)에서, 소스 콘택 및 드레인 콘택이 ILD 층을 통해 형성되어 이들이 각각의 소스 영역 및 드레인 영역 상의 실리사이드층에 전도성있게 결합되도록 한다.
추가 단계들이 상기 방법(100) 이전에, 방법 동안에, 그리고 방법 이후에 제공될 수 있고, 기술된 방법들의 일부는 상기 방법의 다른 실시예들을 위해 교체되거나 제거될 수 있다. 예를 들어, 반도체 물질은, 블록(104)에서 더미 게이트 구조가 형성된 이후에 소스 영역 및 드레인 영역에서 에피택셜(epi) 성장될 수 있다. 이어지는 설명은 도 1의 방법(100)에 따라 제조될 수 있는 집적 회로 장치의 다양한 실시예들을 나타낸다.
이제, 도 2와 도 3을 참조하면, 도 2는 본 발명개시의 다양한 양태에 따른 FinFET 장치(200)의 개략적인 투시도이고, 도 3은 라인(3-3)을 따라 취해진 도 2의 FinFET 장치(200)의 개략적인 단편적 단면도이다. 본 발명개시에서, FinFET 장치는 임의의 핀(fin) 기반 트랜지스터를 나타낸다. FinFET 장치(200)는 마이크로프로세서, 메모리 셀, 및/또는 다른 집적 회로 장치에 포함될 수 있다. 도 2는 본 발명개시의 발명 개념의 더욱 양호한 이해를 위해 간략화되었다. 추가 피처들이 FinFET 장치(200)에 추가될 수 있고, 이하에 기술된 피처들 중 일부는 FinFET 장치(200)의 다른 실시예들에서 교체되거나 제거될 수 있다.
FinFET 장치(200)는 기판(웨이퍼)(210)를 포함한다. 기판(210)은 벌크 실리콘 기판이다. 대안적으로, 기판(210)은 결정 구조의 실리콘 또는 게르마늄과 같은 기본(elementary) 반도체; 탄화 규소, 비화 갈륨, 인화 갈륨, 인화 인듐, 비화 인듐 및/또는 안티몬화 인듐과 같은 화합물 반도체; 또는 이들의 조합물을 포함한다. 대안적으로, 기판(210)은 실리콘 온 인슐레이터(silicon-on-insulator; SOI) 기판을 포함한다. SOI 기판은 산소의 주입에 의한 분리(separation by implantation of oxygen; SIMOX), 웨이퍼 본딩 및/또는 다른 적합한 방법을 이용하여 제조될 수 있다. 기판(210)은 다양하게 도핑된 영역 및 다른 적합한 피처들을 포함할 수 있다.
FinFET 장치(200)는 기판(210)으로부터 확장된 실리콘(Si) 핀과 같은 핀 구조(212)를 포함한다. 핀 구조(212)는 리소그래피 공정 및 에칭 공정과 같은 적합한 공정에 의해 형성된다. 예를 들어, 핀 구조(212)는 기판을 오버레이하는 포토레지스트층(레지스트)을 형성하고, 패턴에 레지스트를 노출하고, 포스트 노출 베이크 공정을 수행하고, 레지스트를 비롯한 마스킹 요소를 형성하기 위해 레지스트를 현상함으로써 형성될 수 있다. 그러면, 마스킹 요소는 실리콘 기판(210)으로 핀 구조(212)를 에칭하는데 이용될 수 있다. 핀 구조(212)는 반응성 이온 에칭(reactive ion etch; RIE) 및/또는 다른 적합한 공정을 이용하여 에칭될 수 있다. 대안적으로, 핀 구조(212)는 더블 패턴닝 리소그래피(double-patterning lithography; DPL) 공정에 의해 형성된다. DPL은 패턴을 두 개의 인터리브 패턴으로 분할함으로써 기판 상에 패턴을 구성하는 방법이다. DPL은 향상된 피처 (예컨대, 핀) 밀도를 허용한다. 이중 노출(예컨대, 두 개의 마스크 세트를 이용함), 피처에 인접한 스페이서 형성, 및 스페이서의 패턴을 제공하기 위해 피처를 제거, 레지스트 프리징, 및/또는 다른 적합한 공정들을 비롯한 다양한 DPL 방법들이 이용될 수 있다.
쉘로우 트렌치 분리(shallow trench isolation; STI) 구조와 같은, 분리 피처(213)는 핀 구조(212)를 둘러싸고, FinFET 장치(200)의 다른 미도시된 핀들로부터 핀 구조(212)를 분리한다. 분리 피처(213)는 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 다른 적합한 물질, 또는 이들의 조합물과 같은 절연 물질로 (핀 구조(212)를 형성하기 위해 기판(210)을 에칭한 이후에 형성된) 핀 구조(212)를 둘러싼 트렌치를 부분적으로 충진함으로써 형성될 수 있다. 충진된 트렌치는 다층 구조(예를 들어, 트렌치를 충진한 실리콘 질화물을 갖는 열 산화 라이너 층)를 가질 수 있다.
FinFET 장치(200)는 게이트 구조(214)를 포함한다. 게이트 구조(214)는 핀 구조(212)를 횡단하고, 도시된 실시예에서, 핀 구조(212)의 중앙 부분에 형성된다. 게이트 구조(214)는 핀 구조(212)의 세 개의 표면(탑 표면 및 두 개의 인접한 측면 표면)과 맞물린다. 게이트 구조(214)는 다른 층들에 더하여, 게이트 유전층(215) 및 금속 게이트 전극(216)을 포함한다. 예시된 실시예에서, 게이트 유전층(215)은 고유전율(high-k) 유전체를 포함하지만, 대안적으로, 실리콘 산화물과 같은 다른 적합한 유전체, 또는 이들의 조합물을 포함할 수 있다. 고유전율 유전체의 예는, HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 산화지르코늄, 산화알루미늄, HfO2-Al2O3(hafnium dioxide-alumina) 합금, 다른 적합한 고유전율 유전체, 및/또는 이들의 조합물을 포함한다. 금속 게이트 전극은 Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 다른 도전 재료, 또는 이들의 조합과 같은 금속을 포함한다. 금속 게이트 전극(216)은 이하에 기술될 게이트 라스트(gate last) 공정을 이용하여 형성될 수 있다. 일부 실시예들에서, 게이트 구조(214)는 다수의 다른 층들(예를 들어, 캡핑층, 계면층, 확산층, 장벽층, 스트레인 유도층(strain inducing layer), 또는 이들의 조합물)을 포함할 수 있다.
이하에 기술되는 바와 같이, 게이트 구조(214)는 성막 공정, 리소그래피 패턴화 공정 및 에칭 공정과 같은 적합한 공정에 의해 형성된다. 성막 공정은 화학적 기상 성막(chemical vapor deposition; CVD), 물리적 기상 성막(physical vapor deposition; PVD), 원자층 성막(atomic layer deposition; ALD), 고밀도 플라즈마 CVD(high density plasma CVD; HDPCVD), 유기 금속 CVD(metal organic CVD; MOCVD), 원격 플라즈마 CVD(remote plasma CVD; RPCVD), 플라즈마 향상 CVD(plasma enhanced CVD; PECVD), 저압 CVD(low-pressure CVD; LPCVD), 원자층 CVD(atomic layer CVD; ALCVD), 대기압 CVD(atmospheric pressure CVD; APCVD), 도금, 다른 적합한 방법, 또는 이들의 조합을 포함한다. 리소그래피 패턴화 공정은 포토레지스트 코팅(예컨대, 스핀 온 코팅), 소프트 베이킹, 마스크 정렬, 노출, 포스트 노출 베이킹, 포토레지스트 현상, 세정, 건조(예컨대, 하드 베이킹), 다른 적합한 공정, 또는 이들의 조합을 포함한다. 대안적으로, 리소그래피 노출 공정은 마스크없는 포토리소그래피, 전자 빔 기록, 및 이온 빔 기록과 같은 다른 방법에 의해 구현되거나 교체된다. 또 다른 대안으로, 리소그래피 패턴화 공정은 나노임프리트 기술을 구현할 수 있다. 에칭 공정은 건식 에칭, 습식 에칭, 및/또는 다른 에칭 방법을 포함한다.
스페이서(217)는 도 2와 도 3에 도시된 바와 같이 게이트 구조(214)에 형성된다. 스페이서(217)는 게이트 구조(214)의 측벽에 배치되어, 게이트 구조의 일부로 고려될 수 있다. 스페이서(217)는 적합한 공정에 의해 적합한 두께로 형성된다. 예를 들어, 유전층(예를 들어, 실리콘 산화층)은 FinFET 장치(200) 위에 전반적 성막되고, 그리고 나서, 실리콘 산화층은 스페이서(217)를 형성하기 위해 실리콘 산화층을 제거하도록 에칭된다. 대안적으로, 스페이서(217)는 실리콘 질화물, 실리콘 산화질화물, 또는 이들의 조합물과 같은 다른 유전체를 포함한다.
핀 구조(212)는 게이트 구조(214)에 가장 가까운 부분에서 채널 영역(218)을 포함한다. 핀 구조(212)는 채널 영역(218)의 양측에 도핑된 소스 영역 및 드레인(S/D) 영역(219)을 더 포함한다. 이러한 소스 영역 및 드레인 영역은 FinFET 장치(200)의 설계 요건에 적절한, 주입된 도펀트를 갖는 도핑된 영역이다. FinFET 장치(200)가 NMOS 장치인 실시예에서, 소스 영역 및 드레인 영역(219)은 n형 도펀트(예컨대, 인 또는 비소, 또는 이들의 조합)으로 도핑되고, FinFET 장치(200)가 PMOS 장치인 실시예에서, 소스 영역 및 드레인 영역(219)은 p형 도펀트(예컨대, 붕소 또는 BF2, 또는 이들의 조합)로 도핑된다. 소스 영역 및 드레인 영역(219)은 핀 구조(212)의 탑 부분에 배치된 실리사이드층(220)을 포함한다. 도 2와 도 3에 도시된 바와 같이, 실리사이드층(220)은 핀 구조(212)의 탑 부분의 거의 전체에 걸쳐 표면상으로 게이트 구조(214)로부터 확장된다. 현재의 실시예에서, 실리사이드층(220)은 니켈 실리사이드(nickel silicide; NiSi) 층이지만, 대안적인 실시예들에서, 실리사이드층은 다른 유형의 금속을 포함할 수 있다. 더욱이, 일부 실시예들에서, 소스 영역 및 드레인 영역(219)은 채널 영역(218)을 변형시키기 위해 실리사이드층(220)에서 또는 실리사이드층(220) 근처에서 에피택셜 (epi) 성장을 포함할 수 있다.
도 3에 도시된 바와 같이, FinFET 장치(200)의 핀 구조(212) 및 게이트 구조(214)는 레벨 간 유전체(inter-level dielectric; ILD) 층(221)에 의해 둘러싸인다. 명료함을 위해, 장치(200)는 ILD 층(221) 없이 도 2에 도시되었다. FinFET 장치(200)는 ILD 층(221)을 통해 아래로 확장되어, 소스 영역 및 드레인 영역(219) 위의 각각의 실리사이드층(220)과 맞물리는 소스 콘택 및 드레인 콘택(222)(MO)을 포함한다. 소스 콘택 및 드레인 콘택(222)은 금속화층 및 다른 반도체 장치에 FinFET 장치(200)의 소스 영역 및 드레인 영역(219)을 전기적으로 결합시킨다. 예시된 실시예에서, 소스 콘택 및 드레인 콘택(222)은 텅스텐으로 형성되지만, 대안적인 실시예들에서, 이들은 구리 또는 알루미늄과 같은 다른 전도체로 형성될 수 있다.
도 4 내지 도 12는 여러 제조 단계 동안에 도 3과 유사한지만 도 2의 FinFET 장치(200)를 도시하는 개략적인 단편적 단면도이다. 도 4 내지 도 12에 도시된 제조 단계는 CMOS 기술 공정 흐름을 이용하여 장치(200)을 제조하는 것에 대응한다. 도 4 내지 도 12에 도시된 단계들 이전에, 단계들 동안에, 그리고 단계들 이후에 추가의 공정들이 제공될 수 있고, 일부 선택된 공정들은 당해 기술에 잘 공지되어 있다면 단지 간단히 기술될 수 있음을 이해해야 한다.
도 4를 참조하면, FinFET 장치(200)는 미완성 상태로 도시되고, 이전 제조 단계들을 겪었다. 예시된 실시예에서, FinFET 장치(200)는 소스 영역 및 드레인 영역(219)과 임시 게이트 구조(250)를 포함하는 핀 구조(212)를 형성하기 위해 앞서 기술된 공정들을 겪었다. 임시 게이트 구조(250)는 스페이서(217)에 의해 둘러싸인 게이트 유전층(215) 및 더미 폴리실리콘층(252)(더미 게이트 전극)을 포함한다. 게이트 유전층(215) 및 더미 폴리실리콘층(252)은 화학적 기상 성막(CVD), 물리적 기상 성막(PVD), 원자층 성막(ALD), 고밀도 플라즈마 CVD(HDPCVD), 유기 금속 CVD(MOCVD), 원격 플라즈마 CVD(RPCVD), 플라즈마 향상 CVD(PECVD), 도금, 다른 적합한 방법, 및/또는 이들의 조합과 같은 임의의 적합한 공정을 이용하여 형성될 수 있다. 일부 실시예들에서, 캡핑층, 계면층, 확산층, 장벽층, 및 스트레인 유도층과 같은 추가적인 층들이 임시 게이트 구조(250)의 일부를 형성하기 위해 성막될 수 있다.
더욱이, 일부 실시예들에서, 에피택셜 성장은 임시 게이트 구조(250)의 대향면들 상의 핀 구조(212)에서 성장된다. 이와 같은 경우, 채널 영역(218)은 에피택셜 성장 사이에 배치되고, 에피택셜 성장이 성장됨에 따라, 격자 불일치로 인해서 스트레인(strain)이 채널 영역에 유도될 수 있다. FinFET 장치(200)가 NMOS 장치인 실시예에서, epi 성장은 실리콘 또는 탄화 규소(SiC)일 수 있고, 소스 영역 및 드레인 영역을 형성하기 위해서 n형 도펀트(예컨대, 인 또는 비소)로 도핑될 수 있다. FinFET 장치(200)가 PMOS 장치인 실시예에서, epi 성장은 실리콘 게르마늄(SiGe) 일 수 있고, 소스 영역 및 드레인 영역을 형성하기 위해서 p형 도펀트(예컨대, 붕소)로 도핑될 수 있다. 부가적으로, 도 4에 도시된 바와 같이, 임시 ILD 층(254)은 핀 구조(212)와 임시 게이트 구조(250) 위에 성막되었고, CMP 공정은 임시 ILD 층(254)을 평탄화하기 위해 완료되어 임시 게이트 구조의 탑(top)과 동일 평면에 있다.
이제, 도 5와 도 6을 참조하면, 게이트 교체 공정이 수행되어, 임시 게이트 구조(250)의 탑층은 제거되고 금속 게이트 전극으로 교체된다. 특히, 도 5는 더미 폴리실리콘층(252)(더미 게이트 전극)의 제거 이후의 임시 게이트 구조(250)를 나타낸다. 더미 폴리실리콘층(252)은 건식 에칭 공정 및/또는 습식 에칭 공정과 같은 임의의 적합한 공정에 의해 임시 게이트 구조(250)로부터 제거될 수 있다. 더미 폴리실리콘층(252)을 에칭으로 제거한 후에, 게이트 유전층(215)의 탑 및 스페이서(270)의 내부 표면은 트렌치(260)의 바텀 및 측면 표면을 각각 정의한다. 다음으로, 도 6에 도시된 바와 같이, 트렌치(260)는 금속 게이트 전극(216)을 형성하기 위해 금속 충진층으로 완전히 충진된다(도시되지 않음). 금속 게이트 전극(216)은 구리, 텅스텐, 또는 티타늄과 같은 다른 도전체 금속 또는 알루미늄의 성막에 의해 형성된다. 일부 실시예들에서, 트렌치(260)를 충진하는 것은, 금속 충진층을 성막하기 전에 일함수층(work function layer)을 성막하는 것을 포함한다. 다음으로, 트렌치(260)가 금속 충진층으로 완전히 충진된 이후에, 에칭 공정이 수행되어 금속 게이트 전극(216)의 일부를 제거한다. 예시된 실시예에서, 금속 게이트 전극(216)의 탑 부분으로부터 대략 5 내지 10 나노미터(nm)가 에칭으로 제거되어 대략 5 내지 10 nm 깊이의 개구부(262)를 형성한다. 대안적인 실시예에서, 금속 게이트 전극을 더 많이 또는 더 적게 에칭하여 제거할 수 있다.
이제, 도 7과 도 8을 참조하면, 하드 마스크가 금속 게이트 전극(216)을 보호하기 위해 게이트 구조 위에 성막된다. 특히, 도 7에 도시된 바와 같이, 하드 마스크 물질층(264)은 개구부(262) 내에 그리고 임시 ILD 층(254) 위에 성막된다. 현재의 실시예에서, 하드 마스크 물질은 실리콘 질화물(SiN)이지만, 대안적인 실시예에서, 하드 마스크 물질은 탄화 규소(SiC), 실리콘 산화질화물(SiON) 또는 다른 적합한 물질일 수 있다. 다음으로, 도 8에 도시된 바와 같이, CMP 공정이 수행되어 개구부(262) 바깥의 하드 마스크 물질층(264)의 일부를 제거한다. CMP 공정이 수행된 이후에, 하드 마스크 캡(266)(즉, 하드 마스크층(264)의 일부)이 금속 게이트 전극(216) 위에 그리고 스페이서(217) 사이에 남는다. 하드 마스크 캡(266)은 후속 공정으로부터 금속 게이트 전극(216)을 보호한다.
이제 도 9를 참조하면, 임시 ILD 층(254)은 에칭으로 제거되어 핀 구조(212)에 있는 소스 영역 및 드레인 영역이 노출되도록 한다. 금속 게이트 전극(216)은 하드 마스크 캡(266)의 보호로 인해 고스란히 남아 있다. 다음으로, 도 10에서, 실리사이드화 공정이 FinFET 장치(200)에 수행된다. 특히, 자기 정렬 실리사이드(self-aligned silicide; 살리시드 salicide) 공정이 임의의 노출될 실리콘 기반 표면 위에 실리사이드층을 성장시키기 위해 수행된다. 특히, 니켈(Ni)과 같은 금속 물질이 적어도 핀 구조(212) 위에 성막되고, 온도는 니켈과 니켈에 노출된 임의의 실리콘 간의 반응으로 인해 상승되고, 임의의 비 반응성 니켈은 에칭되어 제거된다. 실리사이드화 동안에, 실리사이드층(220)이 소스 영역 및 드레인 영역(219)에 형성된다. 실리사이드화 공정 동안에 전체 소스 영역 및 드레인 영역이 노출되기 때문에, 실리사이드층(220)은 채널 영역(218)에 거의 인접한 소스 및 드레인의 일부를 포함하는 영역의 거의 전체 길이를 가로질러 형성한다. 하드 마스크 캡(266)은 손상을 방지하기 위해 실리사이드화 공정 동안에 금속 게이트 전극(216)에 남아 있다. 대안적인 실시예에서, 니켈 이외의 다른 금속들이 실리사이드화 공정에 이용될 수 있다.
이제, 도 11을 참조하면, 게이트 구조(214)가 완결된다. 먼저, ILD 층(221)이 핀 구조(212) 및 하드 마스크 캡(266) 위에 성막된다. 다음으로, CMP 공정이 수행되어, 금속 게이트 전극(216)의 탑과 ILD 층(221)을 평탄화한다. 특히, CMP 공정 동안에, 하드 마스크 캡(266)은 스페이서(217)의 탑 부분을 따라 제거된다. 마지막으로, 도 12에서, ILD 층(221)은 추가의 절연체로 증가되고, 소스 콘택 및 드레인 콘택(222)이 그것을 통해서 형성된다. 더욱 상세히, IDL 층(221)이 증가된 이후에, 콘택 홀은 ILD 층을 통해 실리사이드층(220)에 이르기까지 에칭된다. 예시된 실시예에서, 콘택 홀은 높은 종횡비(폭 대 깊이의 비)를 갖는다. 일부 실시예들에서, 콘택 홀의 종횡비는 대략 3보다 클 수 있지만, 다른 실시예에서, 대략 1 내지 3 사이일 수 있다. 그 다음에, 텅스텐과 같은 금속이 소스 콘택 및 드레인 콘택(222)을 형성하기 위해 실리사이드층(220)에 이르기까지 콘택 홀에 내에 성막된다. 소스 콘택 및 드레인 콘택(222)이 형성되는 경우, 이것은 실리사이드층(220)에 전도성있게 결합된다. 소스 영역 및 드레인 영역의 실라사이드화가 높은 종횡비의 콘택 홀을 통해 수행되는 이전의 설계와 비교해서, 장치(200)의 소스 콘택 및 드레인 콘택(222)은 소스 영역 및 드레인 영역(219)에 보다 양호하게 전기적으로 접속할 수 있다. 동작 동안에, FinFET 장치는 게이트 구조(214)를 통해 "온"으로 스위칭되는 경우, 전류는 소스 콘택(222) 아래로 흐르고 채널 영역(218)을 통한 다음 드레인 콘택 위로 흐를 수 있다. 특히, 전류가 실리사이드층 아래의 소스 영역 및 드레인 영역(219)의 더욱 저항적인 부분을 통해 흐르지 않고 소스 콘택 및 드레인 콘택(222)과 채널 영역(218) 사이에 흐를 때 실리사이드층(220)을 통해 수평으로 흐를 수 있다. 이런 식으로, FinFET 장치(200)의 동작 저항은, 쓰루 콘택 홀 실리사이드화 공정을 이용하는 이전의 설계에 비교하여 감소될 수 있다.
FinFET 장치(200)는 제조를 완료하기 위해 추가의 처리를 겪을 수 있다. 예를 들어, 금속층(예컨대, M1, M2, 등)과 금속 간 절연체(inter-metal dielectric; IMD)를 포함하는 비예시된 다층 상호접속(multilayer interconnection; MLI)이 집적 회로를 형성하도록 다양한 장치 부분들을 전기적으로 결합하기 위해 핀 구조(212) 및 게이트 구조(214) 위에 형성될 수 있다. 다층 상호접속은 종래의 비아 또는 콘택과 같은 수직 상호접속 및 금속 라인과 같은 수평 상호접속을 포함한다. 다양한 상호접속 피처들은 구리, 텅스텐 및 실리사이드를 포함하는 다양한 도전체를 이용할 수 있다. 일례로, 다마신 공정(damascene process)이 구리 다층 상호접속 구조를 형성하는데 이용될 수 있다.
도 13은 본 발명개시의 다른 실시예에 따른 FinFET 장치(300)의 개략적인 단편적 단면도이다. FinFET 장치(300)는 반도체 기판(310) 및 게이트 구조(314)를 포함한다는 점에서 FinFET 장치(200)와 유사하지만, FinFET 장치(300)에서, 게이트 구조(314)는 기판의 밖으로 확장된 핀 구조 대신에 반도체 기판 상에 배치된다. FinFET 장치(300)의 게이트 구조(314)는 또한 고유전율(high-k) 게이트 유전층(315), 금속 게이트 전극(316), 및 스페이서(317)를 포함한다는 점에서 FinFET 장치(200)의 게이트 구조와 유사하다. 더욱이, FinFET 장치(300)에서, 채널 영역은 기판(310)에 배치되고, 소스 영역 및 드레인 영역(319) 사이에 개재된다. 일부 실시예들에서, 소스 영역 및 드레인 영역(319)은 채널 영역(318)을 변형시키는데 적합한 물질로 형성된 에피택셜 성장을 포함할 수 있다. FinFET 장치(200)에서 처럼, 실리사이드층(320)이 소스 영역 및 드레인 영역(319)에 배치되고, 개별 소스 영역 및 드레인 영역 각각의 거의 전체를 따라 게이트 구조(314)로부터 표면상으로 확장된다. 더욱이, ILD 층(321)은 게이트 구조 및 반도체 기판(310) 위에 배치되고, 소스 콘택 및 드레인 콘택(322)은 ILD 층(321)을 통해 확장되고, 실리사이드층들(320) 중 각각 하나의 실리사이드층과 전도성 있게 맞물린다. 마지막으로, FinFET 장치(200)의 피처와 유사한 FinFET 장치(300)의 피처(예컨대, 실리사이드층, 게이트 구조, 소스 콘택 및 드레인 콘택 등)는 도 4 내지 12에 도시된 공정과 유사한 공정에 의해 형성될 수 있다.
당업자가 본 개시의 양태들을 더욱 잘 이해할 수 있도록 앞서 말한 것은 여러 실시예들의 특징들을 설명하였다. 당업자는 본 명세서에 도입된 실시예들의 동일한 이점들을 달성 및/또는 동일한 목적을 수행하는 구조 및 다른 공정을 설계 또는 수정하기 위한 기본으로서 본 개시를 용이하게 이용할 수 있음을 이해해야 한다. 예를 들어, 예시된 실시예는 FinFET 장치를 특징으로 하지만, 상기 기술된 개념은 다른 반도체 장치에도 적용될 수 있다. 예를 들어, 당업자는 도 4 내지 도 12에 기술된 공정이 도 13의 FET 장치(300)와 같은 핀 피처가 없는 표준 CMOS 트랜지스터에 적용되어 그 결과 다른 집적 회로 피처에 대한 향상된 전기 접속을 달성할 수 있음을 이해할 것이다. 이와 같은 시나리오에서, 소스 영역 및 드레인 영역은 소스 영역 및 드레인 영역 사이의 기판 상에 형성된 게이트 구조를 갖는 평면 반도체 기판에 형성될 수 있다. 더욱이, 일부 실시예들에서, 상기 공정은 두 개의 인접한 상보형 FinFET 장치들(예를 들어, NMOS FinFET 및 PMOS FinFET)에 적용하기 위해 약간 변경될 수 있다. 이와 같은 경우, 각각의 FinFET 장치는 적절한 유형의 금속(n 금속 또는 p 금속)으로 충진되고 도 6에 도시된 바와 같이 부분적으로 에칭되어 제거될 수 있다. 도 7 내지 도 12에 도시된 나머지 공정들이 그 다음에 각각의 상보형 FinFET에 적용될 수 있다. 당업자는 또한, 등가 구조물이 본 개시의 사상과 범위로부터 벗어나지 않도록 실현해야 하며, 본 개시의 사상과 범위로부터 벗어나지 않고 여기에서 다양한 변경, 대체 및 변화를 행할 수 있다.
본 발명개시는 다수의 상이한 실시예를 제공한다. 상이한 실시예들은 상이한 이점을 가질 수 있고, 어떠한 특별한 이점도 임의의 실시예를 반드시 요구하지 않는다. 예에서, 방법은 내부에 이격된 소스 영역 및 드레인 영역을 갖는 반도체 물질을 제공하는 단계; 소스 영역 및 드레인 영역 사이에 개재된 게이트 구조를 형성하는 단계; 내부에 금속 게이트 전극을 형성하기 위해서 게이트 구조 상에서 게이트 교체 공정을 수행하는 단계; 금속 게이트 전극 위에 하드 마스크층을 형성하는 단계; 반도체 물질의 각각의 소스 영역 및 드레인 영역에서 실리사이드층을 형성하는 단계; 금속 게이트 전극을 노출하기 위해 하드 마스크층을 제거하는 단계; 및 소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택은 실리사이드층들 중 각각 하나의 실리사이드층에 전도성 있게 결합되는 것인, 소스 콘택 및 드레인 콘택을 형성하는 단계를 포함한다.
예에서, 방법은 게이트 교체 공정을 수행하기 전에 게이트 구조 및 반도체 물질 위에 제1 레벨 간 유전체(ILD) 층을 성막하는 단계; 및 실리사이드층을 형성하기 전에 제1 ILD 층을 제거하는 단계를 추가적으로 포함할 수 있다. 또한, 방법은 실리사이드층 및 하드 마스크층 위에 제2 ILD 층을 성막하는 단계; 및 제2 ILD 층을 평탄화하기 위해 화학적 기계적 연마(CMP) 공정을 수행하는 단계를 포함할 수 있다. CMP 공정을 수행하는 단계는, 하드 마스크층을 제거하는 단계를 포함할 수 있다. 방법은 게이트 구조에 개구부를 형성하기 위해 금속 게이트 전극의 탑 부분을 제거하는 단계 및 개구부 안쪽에 하드 마스크층을 형성하는 단계를 포함할 수 있는 하드 마스크층을 형성하는 단계를 더 포함할 수 있다. 금속 게이트 전극의 탑 부분을 제거하는 단계는 대략 5 내지 10 나노미터의 범위에 이르는 두께를 갖는 금속 게이트 전극의 일부를 제거하는 단계를 포함할 수 있다. 실리사이드층을 형성하는 단계는 개개의 소스 영역 및 드레인 영역 각각의 거의 전체를 따라 게이트 구조로부터 표면상으로 확장되도록 실리사이드층을 형성하는 단계를 포함할 수 있다. 게이트 구조를 형성하는 단계는, 게이트 구조의 측벽 상에 스페이서를 형성하는 단계, 및 하드 마스크층을 둘러싼 스페이서의 일부를 제거하는 단계를 포함할 수 있는 하드 마스크층을 제거하는 단계를 포함할 수 있다.
다른 예에서, 방법은 반도체 기판을 제공하는 단계; 기판으로부터 위쪽으로 확장된 핀 구조를 형성하는 단계로서, 상기 핀 구조는 그 안에 이격된 소스 영역 및 드레인 영역을 갖는 것인, 핀 구조 형성 단계; 소스 영역 및 드레인 영역 사이의 핀 구조와 맞물린 게이트 구조를 형성하는 단계로서, 상기 게이트 구조는 그 안에 더미 게이트 전극을 갖는 것인, 게이트 구조 형성 단계; 게이트 구조 및 핀 구조 위에 제1 레벨 간 유전체(ILD) 층을 성막하는 단계; 게이트 구조에 트렌치를 형성하기 위해서 더미 게이트 전극을 제거하는 단계; 내부에 금속 게이트 전극을 형성하기 위해 트렌치 내에 금속층을 성막하는 단계; 게이트 구조에 개구부를 형성하기 위해 금속 게이트 전극의 탑 부분을 제거하는 단계; 개구부에 하드 마스크층을 형성하는 단계; 핀 구조에서 소스 영역 및 드레인 영역을 노출하기 위해 제1 ILD 층을 제거하는 단계; 핀 구조에서 개개의 소스 영역 및 드레인 영역 상에 실리사이드층들을 형성하는 단계; 하드 마스크층을 제거하는 단계; 및 소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택이 실리사이드층들 중 각각 하나의 실리사이드층에 전도성 있게 결합되는 것인, 소스 및 드레인 콘택을 형성하는 단계를 포함한다. 방법은 실리사이드층 및 하드 마스크층 위에 제2 ILD 층을 성막하는 단계, 및 제2 ILD 층을 평탄화하기 위해 화학적 기계적 연마(CMP) 공정을 수행하는 단계를 포함할 수 있다. CMP 공정을 수행하는 단계는, 하드 마스크층을 제거하는 단계를 포함할 수 있다. 금속 게이트 전극의 탑 부분을 제거하는 단계는 대략 5 내지 10 나노미터의 범위에 이르는 두께를 갖는, 금속 게이트 전극의 일부를 제거하는 단계를 포함할 수 있다. 방법은 또한 게이트 구조를 형성한 이후에 소스 영역 및 드레인 영역에서 에피택셜 성장을 형성하는 단계를 포함할 수 있다. 게이트 구조를 형성하는 단계는 게이트 구조의 측벽 상에 스페이서를 형성하는 단계를 포함할 수 있고, 스페이서는 금속 게이트 전극의 탑 부분을 제거한 이후에 개구부를 부분적으로 정의한다. 하드 마스크층을 제거하는 단계는 하드 마스크층을 둘러싼 스페이서의 일부를 제거하는 단계를 포함할 수 있다. 실리사이드층을 형성하는 단계는 개개의 소스 영역 및 드레인 영역 각각의 거의 전체를 따라 게이트 구조로부터 표면상으로 확장되도록 실리사이드층을 형성하는 단계를 포함할 수 있다.
또 다른 예에서, 반도체 장치는 반도체 기판; 반도체 기판 위에 배치되고 소스 영역 및 드레인 영역 사이에 정의된 채널 영역으로부터 표면상으로 확장된 이격된 소스 영역 및 드레인 영역을 갖는 핀 구조; 핀 구조의 일부 위에 배치된 게이트 구조로서, 상기 게이트 구조는 소스 영역과 드레인 영역 사이 및 채널 영역에 인접한 핀 구조와 맞물린 게이트 구조; 핀 구조 위에 배치된 제1 실리사이드층으로서, 상기 제1 실리사이드층은 소스 영역의 탑 부분을 따라 게이트 구조로부터 표면상으로 확장된 것인, 제1 실리사이드층; 핀 구조 위에 배치된 제2 실리사이드층으로서, 상기 제2 실리사이드층은 드레인 영역의 탑 부분을 따라 게이트 구조로부터 표면상으로 확장된 것인, 제2 실리사이드층; 제1 실리사이드층에 전도성 있게 결합되고 소스 영역에 전류를 전달하도록 구성된 소스 콘택; 및 제2 실리사이드층에 전도성 있게 결합되고 드레인 영역으로부터 전류를 전달하도록 구성된 드레인 콘택을 포함한다. 장치는 게이트 구조의 각각의 측면 상의 핀 구조의 소스 영역 및 드레인 영역에서 에피택셜 성장을 포함할 수 있고, 이 에피택셜 성장은 채널 영역에 인장 변형을 준다. 또한, 장치는 핀 구조를 횡단하고 게이트 구조의 측벽 상에 배치된 스페이서를 포함할 수 있고, 이 스페이서는 측벽과 제1 실리사이드층 및 제 2 실리사이드층 사이에 개재된다. 장치는 핀 구조 및 게이트 구조 위에 배치된 ILD 층, ILD 층에서 각각의 콘택 홀을 통해 확장된 소스 콘택 및 드레인 콘택, 및 높은 종횡비를 갖는 콘택 홀을 추가적으로 포함할 수 있다.
210: 기판
212: 핀 구조
213: 분리 피처
214: 게이트 구조
215: 게이트 유전층
216: 금속 게이트 전극
217: 스페이서
218: 채널 영역
219: S/D 영역
220: 실리사이드층
221: ILD 층
222: 소스 콘택 및 드레인 콘택
250: 임시 게이트 구조
252: 더미 폴리실리콘층
254: 임시 ILD 층
260: 트렌치
261: 금속 게이트 전극

Claims (10)

  1. 반도체 장치를 형성하는 방법에 있어서,
    내부에 이격된 소스 영역 및 드레인 영역을 갖는 반도체 물질을 제공하는 단계;
    상기 소스 영역 및 상기 드레인 영역 사이에 개재(interpose)된 게이트 구조를 형성하는 단계;
    내부에 금속 게이트 전극을 형성하기 위해서 상기 게이트 구조 상에서 게이트 교체 공정(gate replacement process)을 수행하는 단계;
    상기 게이트 구조에 개구부(opening)를 형성하기 위해 상기 금속 게이트 전극의 탑 부분(top portion)을 제거하는 단계;
    상기 금속 게이트 전극 위에 하드 마스크층을 형성하는 단계로서, 상기 하드 마스크층을 형성하는 단계는 상기 개구부의 안쪽에 상기 하드 마스크층을 형성하는 단계를 포함하는 것인, 상기 하드 마스크층 형성 단계;
    상기 반도체 물질에서 상기 소스 영역 및 상기 드레인 영역 각각에 실리사이드층들을 형성하는 단계;
    상기 금속 게이트 전극을 노출하기 위해 상기 하드 마스크층을 제거하는 단계; 및
    소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택은 상기 실리사이드층들의 각 실리사이드층에 전도성 있게 결합(coupled)되는 것인, 상기 소스 콘택 및 상기 드레인 콘택을 형성하는 단계
    를 포함하는 반도체 장치 형성 방법.
  2. 제1항에 있어서,
    상기 게이트 교체 공정을 수행하는 단계 전에, 상기 게이트 구조 및 반도체 물질 위에 제1 레벨 간 유전체(inter-level dielectric; ILD) 층을 성막(deposit)하는 단계; 및
    상기 실리사이드층들을 형성하는 단계 전에, 상기 제1 ILD 층을 제거하는 단계
    를 더 포함하는 반도체 장치 형성 방법.
  3. 삭제
  4. 반도체 장치를 형성하는 방법에 있어서,
    반도체 기판을 제공하는 단계;
    상기 기판으로부터 위쪽으로 확장된 핀 구조를 형성하는 단계로서, 상기 핀 구조는 내부에 이격된 소스 영역 및 드레인 영역을 가지는 것인, 상기 핀 구조 형성 단계;
    상기 소스 영역 및 상기 드레인 영역 사이의 핀 구조와 맞물린(engage) 게이트 구조를 형성하는 단계로서, 상기 게이트 구조는 내부에 더미(dummy) 게이트 전극을 가지는 것인, 상기 게이트 구조 형성 단계;
    상기 게이트 구조 및 핀 구조 위로 제1 레벨 간 유전체(inter-level dielectric; ILD) 층을 성막(deposit)하는 단계;
    상기 게이트 구조에 트렌치(trench)를 형성하기 위해서 상기 더미 게이트 전극을 제거하는 단계;
    내부에 금속 게이트 전극을 형성하기 위해 상기 트렌치 내에 금속층을 성막하는 단계;
    상기 게이트 구조에 개구부(opening)를 형성하기 위해 상기 금속 게이트 전극의 탑 부분을 제거하는 단계;
    상기 개구부에 하드 마스크층을 형성하는 단계;
    상기 핀 구조에서 상기 소스 영역 및 상기 드레인 영역을 노출하기 위해 상기 제1 ILD 층을 제거하는 단계;
    상기 핀 구조에서 상기 소스 영역 및 상기 드레인 영역 각각에 실리사이드층들을 형성하는 단계;
    상기 하드 마스크층을 제거하는 단계; 및
    소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택은 상기 실리사이드층들의 각 실리사이드층에 전도성 있게 결합(coupled)되는 것인, 상기 소스 콘택 및 상기 드레인 콘택을 형성하는 단계
    를 포함하는 반도체 장치 형성 방법.
  5. 제4항에 있어서,
    상기 실리사이드층들 및 상기 하드 마스크층 위에 제2 ILD 층을 성막하는 단계; 및
    상기 제2 ILD 층을 평탄화(planarize)하기 위해 화학적 기계적 연마(chemical-mechanical polishing; CMP) 공정을 수행하는 단계
    를 더 포함하는 반도체 장치 형성 방법.
  6. 제4항에 있어서,
    상기 게이트 구조 형성 단계 이후에 상기 소스 영역 및 상기 드레인 영역에서 에피택셜(epitaxial) 성장을 형성하는 단계를 포함하는 반도체 장치 형성 방법.
  7. 반도체 장치를 형성하는 방법에 있어서,
    반도체 기판을 제공하는 단계;
    상기 기판으로부터 위쪽으로 확장된 핀 구조를 형성하는 단계;
    상기 핀 구조와 맞물린(engage) 게이트 구조를 형성하는 단계로서, 상기 게이트 구조는 내부에 더미(dummy) 게이트 전극을 가지는 것인, 상기 게이트 구조 형성 단계;
    상기 게이트 구조 및 핀 구조 위로 제1 레벨 간 유전체(inter-level dielectric; ILD) 층을 성막(deposit)하는 단계;
    상기 게이트 구조에 트렌치(trench)를 형성하기 위해서 상기 더미 게이트 전극을 제거하는 단계;
    내부에 금속 게이트 전극을 형성하기 위해 상기 트렌치 내에 금속층을 성막하는 단계;
    상기 게이트 구조에 개구부(opening)를 형성하기 위해 상기 금속 게이트 전극의 탑 부분을 제거하는 단계;
    상기 개구부에 하드 마스크층을 형성하는 단계;
    소스 영역 및 드레인 영역을 노출하기 위해 상기 제1 ILD 층을 제거하는 단계;
    상기 소스 영역 및 상기 드레인 영역 각각에 실리사이드층들을 형성하는 단계;
    상기 하드 마스크층을 제거하는 단계; 및
    소스 콘택 및 드레인 콘택을 형성하는 단계로서, 각각의 소스 콘택 및 드레인 콘택은 상기 실리사이드층들의 각 실리사이드층에 전도성 있게 결합(coupled)되는 것인, 상기 소스 콘택 및 상기 드레인 콘택을 형성하는 단계
    를 포함하는 반도체 장치 형성 방법.
  8. 삭제
  9. 삭제
  10. 삭제
KR1020120032453A 2011-09-08 2012-03-29 개선된 실리사이드 형성 및 연관된 장치 KR101334465B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/227,979 2011-09-08
US13/227,979 US8466027B2 (en) 2011-09-08 2011-09-08 Silicide formation and associated devices

Publications (2)

Publication Number Publication Date
KR20130027982A KR20130027982A (ko) 2013-03-18
KR101334465B1 true KR101334465B1 (ko) 2013-11-29

Family

ID=47829058

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120032453A KR101334465B1 (ko) 2011-09-08 2012-03-29 개선된 실리사이드 형성 및 연관된 장치

Country Status (3)

Country Link
US (4) US8466027B2 (ko)
KR (1) KR101334465B1 (ko)
CN (1) CN103000506B (ko)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US9202699B2 (en) * 2011-09-30 2015-12-01 Intel Corporation Capping dielectric structure for transistor gates
KR101685555B1 (ko) 2011-09-30 2016-12-12 인텔 코포레이션 비 평면형 트랜지스터용의 텅스텐 게이트 및 그 제조방법
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
DE112011105702T5 (de) 2011-10-01 2014-07-17 Intel Corporation Source-/Drain-Kontakte für nicht planare Transistoren
WO2013085490A1 (en) 2011-12-06 2013-06-13 Intel Corporation Interlayer dielectric for non-planar transistors
US8901665B2 (en) * 2011-12-22 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US8912606B2 (en) * 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US9059142B2 (en) * 2012-07-23 2015-06-16 Nanya Technology Corporation Semiconductor device having vertical gates and fabrication thereof
US8928090B2 (en) * 2012-10-31 2015-01-06 International Business Machines Corporation Self-aligned contact structure for replacement metal gate
US9029208B2 (en) * 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
GB2527214B (en) * 2013-03-29 2020-06-17 Intel Corp Transistor Architecture having extended recessed spacer and source/drain regions and method of making same
CN104112665B (zh) * 2013-04-22 2018-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN104157570B (zh) * 2013-05-15 2017-07-21 中芯国际集成电路制造(上海)有限公司 一种高压晶体管及其制备方法
CN104217964B (zh) * 2013-06-05 2017-12-29 中芯国际集成电路制造(上海)有限公司 导电插塞的形成方法
US9209086B2 (en) * 2013-07-22 2015-12-08 Globalfoundries Inc. Low temperature salicide for replacement gate nanowires
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US9177956B2 (en) * 2013-07-31 2015-11-03 Globalfoundries Inc. Field effect transistor (FET) with self-aligned contacts, integrated circuit (IC) chip and method of manufacture
US9276115B2 (en) * 2013-08-29 2016-03-01 Globalfoundries Inc. Semiconductor devices and methods of manufacture
US9099559B2 (en) 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9455343B2 (en) * 2013-09-27 2016-09-27 Intel Corporation Hybrid phase field effect transistor
US9059164B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US9159617B2 (en) * 2014-01-24 2015-10-13 Globalfoundries Inc. Structure and method of forming silicide on fins
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9299780B2 (en) 2014-03-26 2016-03-29 International Business Machines Corporation Constrained epitaxial source/drain regions on semiconductor-on-insulator finFET device
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9461055B2 (en) 2014-05-16 2016-10-04 Qualcomm Incorporated Advanced metal-nitride-oxide-silicon multiple-time programmable memory
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9312173B2 (en) * 2014-05-19 2016-04-12 International Business Machines Corporation Self-limiting silicide in highly scaled fin technology
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9754935B2 (en) 2014-08-07 2017-09-05 International Business Machines Corporation Raised metal semiconductor alloy for self-aligned middle-of-line contact
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
US9887100B2 (en) * 2014-10-03 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices and structures thereof
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9324820B1 (en) 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9613958B2 (en) * 2015-06-10 2017-04-04 International Business Machines Corporation Spacer chamfering gate stack scheme
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US10038096B2 (en) * 2015-09-08 2018-07-31 Globalfoundries Inc. Three-dimensional finFET transistor with portion(s) of the fin channel removed in gate-last flow
US9721896B2 (en) * 2015-09-11 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US11189700B2 (en) 2015-12-23 2021-11-30 Intel Corporation Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10074563B2 (en) * 2016-07-29 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10296148B2 (en) * 2016-08-31 2019-05-21 Synaptics Incorporated Full-bridge strain-gauge array of finger thermal compensation
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10141305B2 (en) 2016-09-15 2018-11-27 Qualcomm Incorporated Semiconductor devices employing field effect transistors (FETs) with multiple channel structures without shallow trench isolation (STI) void-induced electrical shorts
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10510903B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Impact ionization semiconductor device and manufacturing method thereof
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US11444173B2 (en) * 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
EP3718142A4 (en) * 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10755964B1 (en) * 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11257911B2 (en) * 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004097943A1 (ja) * 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
KR100471526B1 (ko) 2001-02-26 2005-03-08 샤프 가부시키가이샤 반도체 장치의 제조방법
KR100578818B1 (ko) 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
KR20100003241A (ko) * 2008-06-30 2010-01-07 소니 주식회사 반도체 장치 및 반도체 장치의 제조 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US7183184B2 (en) * 2003-12-29 2007-02-27 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7605449B2 (en) * 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
KR100722936B1 (ko) * 2006-05-04 2007-05-30 삼성전자주식회사 모스 전계효과 트랜지스터 및 그 제조방법
US8435845B2 (en) * 2011-04-06 2013-05-07 International Business Machines Corporation Junction field effect transistor with an epitaxially grown gate structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100471526B1 (ko) 2001-02-26 2005-03-08 샤프 가부시키가이샤 반도체 장치의 제조방법
WO2004097943A1 (ja) * 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
KR100578818B1 (ko) 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
KR20100003241A (ko) * 2008-06-30 2010-01-07 소니 주식회사 반도체 장치 및 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
US20140001574A1 (en) 2014-01-02
US9214558B2 (en) 2015-12-15
US20130280899A1 (en) 2013-10-24
KR20130027982A (ko) 2013-03-18
US8686516B2 (en) 2014-04-01
US20130062669A1 (en) 2013-03-14
US20140179077A1 (en) 2014-06-26
CN103000506A (zh) 2013-03-27
US8623721B2 (en) 2014-01-07
CN103000506B (zh) 2016-03-23
US8466027B2 (en) 2013-06-18

Similar Documents

Publication Publication Date Title
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
US10312369B2 (en) Semiconductor Fin FET device with epitaxial source/drain
US9997616B2 (en) Semiconductor device having a strained region
US8994116B2 (en) Hybrid gate process for fabricating FinFET device
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
US10192985B2 (en) FinFET with doped isolation insulating layer
KR20160093524A (ko) 복수의 핀을 갖는 반도체 디바이스 및 그 제조 방법
KR20140059690A (ko) 반도체 디바이스의 컨택 구조물
US11532519B2 (en) Semiconductor device and method
CN109841569B (zh) 具有增强的栅极接触件和阈值电压的栅极结构及其方法
TW201724215A (zh) 半導體裝置及其製造方法
KR20210102816A (ko) 절단 금속 게이트를 가지는 반도체 디바이스 및 그 제조 방법
KR20180069706A (ko) 핀형 전계 효과 트랜지스터를 위한 소스 및 드레인 형성 기법
US10847634B2 (en) Field effect transistor and method of forming the same
KR20220000337A (ko) 소스/드레인 접촉부 및 그 형성 방법
US20220223689A1 (en) Methods Of Forming Epitaxial Source/Drain Features In Semiconductor Devices
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
US8093146B2 (en) Method of fabricating gate electrode using a hard mask with spacers
KR102518683B1 (ko) 나노구조체 전계 효과 트랜지스터 디바이스 및 형성 방법
US20230411217A1 (en) Semiconductor device and method for forming the same
KR20230053500A (ko) 가공된 게이트를 갖는 멀티게이트 디바이스 구조물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161107

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171108

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181107

Year of fee payment: 6