CN103050530B - FinFET器件及其制造方法 - Google Patents

FinFET器件及其制造方法 Download PDF

Info

Publication number
CN103050530B
CN103050530B CN201210071713.8A CN201210071713A CN103050530B CN 103050530 B CN103050530 B CN 103050530B CN 201210071713 A CN201210071713 A CN 201210071713A CN 103050530 B CN103050530 B CN 103050530B
Authority
CN
China
Prior art keywords
fin
strain gauge
semiconductor device
work function
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210071713.8A
Other languages
English (en)
Other versions
CN103050530A (zh
Inventor
刘继文
王昭雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103050530A publication Critical patent/CN103050530A/zh
Application granted granted Critical
Publication of CN103050530B publication Critical patent/CN103050530B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

公开了半导体器件以及制造半导体器件的方法,涉及FinFET器件及其制造方法。示例性半导体器件包括衬底,其包括设置在衬底上方的鳍结构,鳍结构包括一个或多个鳍。半导体器件还包括介电层,设置在鳍结构的中心部分上,并横跨一个或多个鳍的每一个。半导体器件还包括功函金属,设置在介电层上兵横跨一个或多个鳍的每一个。半导体器件还包括应变材料,设置在功函金属上并夹置在一个或多个鳍的每一个之间。半导体器件包括信号金属,设置在功函金属和应变材料的上方,并横跨一个或多个鳍的每一个。

Description

FinFET器件及其制造方法
技术领域
本发明涉及半导体领域,更具体地,涉及FinFET器件及其制造方法。
背景技术
半导体集成电路(IC)工业已经经历了快速发展。在IC演进的过程中,功能密度(即,每芯片区域中互连器件的数量)普遍增加,同时几何尺寸(即,可使用制造工艺制造的最小部件(或线))减小。这种比例缩小通常通过增加生产效率和降低相关成本来提供优势。这种比例缩小还增加了处理和制造IC的复杂度,并且对于将要实现的这些进步,需要IC制造的类似发展。
例如,随着半导体工业前进到追求更大器件密度、更高性能和更低成本的纳米技术工艺,在鳍状场效应晶体管(FinFET)器件的发展中导致制造和设计的双重挑战。尽管现有的FinFET器件以及制造FinFET器件的方法通常足以应对它们的预期目的,但它们还不能在所有方面都完全满足。
发明内容
为解决上述问题,本发明提供了一种半导体器件,包括:衬底,包括设置在衬底上方的鳍结构,鳍结构包括一个或多个鳍;绝缘材料,设置在衬底上,并形成在一个或多个鳍的每一个之间的区域中;介电层,横跨一个或多个鳍的每一个并形成在一个或多个鳍的每一个之间的区域中的绝缘材料上;功函金属,横跨一个或多个鳍的每一个并形成在一个或多个鳍的每一个之间的区域中的介电层上;应变材料,设置在一个或多个鳍的每一个之间的区域中的功函金属上;以及信号金属,横跨一个或多个鳍的每一个并形成在功函金属和应变材料上。
其中,应变材料具有不同于功函金属的热膨胀系数(CTE)和信号金属的CTE的CTE,以及其中,信号金属分离半导体器件的源极区域和漏极区域,源极区域和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域。
其中,对于一个或多个鳍的每一个,应变材料在沟道区域的电流流动方向上引入压缩应力。
其中,对于一个或多个鳍的每一个,应变材料在沟道区域的电流流动方向上引入拉伸应力。
其中,半导体器件为P型金属氧化物半导体(PMOS)鳍状场效应晶体管(FinFET)器件或N型金属氧化物半导体(NMOS)鳍状场效应晶体管(FinFET)器件中的一种,以及其中,半导体器件包括在集成电路器件中。
其中,从由电介质材料和金属材料组成的组中选择应变材料。
其中,应变材料包括硅化钛(TiSi),以及功函金属包括氮化钛(TiN)。
其中,应变材料包括聚酰亚胺(PI),以及功函金属包括铝化钛(TiAl)。
此外,还提供了一种半导体器件,包括:衬底;鳍结构,包括设置在衬底之上的一个或多个鳍的鳍结构;介电层,设置在鳍结构的中心部分上并横跨一个或多个鳍的每一个;功函金属,设置在介电层上并横跨一个或多个鳍的每一个;应变材料,设置在功函金属层上并夹置在一个或多个鳍的每一个之间;以及信号金属,设置在功函金属和应变材料上,并横跨一个或多个鳍的每一个。
其中,信号金属分离半导体器件的源极区域和漏极区域,源极区域和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域,其中,应变材料在鳍结构的一个或多个鳍的至少一个鳍的沟道区域的电流流动方向上引入压缩应力,以及其中,应变材料具有不同于功函金属的热膨胀系数(CTE)和信号金属的CTE的CTE。
其中,信号金属分离半导体器件的源极区域和漏极区域,源极区域和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域,其中,应变材料在鳍结构的一个或多个鳍的至少一个鳍的沟道区域的电流电流方向上引入拉伸应力,以及其中,应变材料具有大于功函金属的热膨胀系数(CTE)且不同于信号金属的CTE的CTE。
其中,半导体器件为P型金属氧化物半导体(PMOS)鳍状场效应晶体管(FinFET)器件。
其中,半导体器件为N型金属氧化物半导体(NMOS)鳍状场效应晶体管(FinFET)器件。
此外,还提供了一种用于制造半导体器件的方法,包括:提供衬底;在衬底上方形成包括一个或多个鳍的鳍结构,一个或多个鳍的每一个都包括第一侧壁和第二侧壁;在衬底和鳍结构上沉积绝缘材料,绝缘材料充分填满一个或多个鳍的每一个之间的区域;从一个或多个鳍的每一个之间的区域中去除绝缘材料的一部分,使得一个或多个鳍的每一个的第一侧壁和第二侧壁的一部分被露出;在一个或多个鳍的每一个的中心部分的上方形成介电层;形成功函金属,功函金属在介电层的上方并设置在一个或多个鳍的每一个之间的区域中;在功函金属上形成应变材料,应变材料设置在一个或多个鳍的每一个之间的区域中;以及深蚀刻一个或多个鳍的每一个之间的区域中的应变材料。
该方法还包括:在功函金属和深蚀刻的应变材料的上方形成信号金属,信号金属横跨一个或多个鳍的每一个并分离半导体器件的源极区域和漏极区域,源极区域和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域,以及在源极区域和漏极区域中形成源极部件和漏极部件,其中,形成源极部件和漏极部件包括:凹陷一个或多个鳍的每一个的一部分;以及在一个或多个鳍的每一个的凹陷部分上取向附生(外延)地生长半导体材料。
其中,形成应变材料包括:旋涂工艺,并且工艺温度小于半导体器件的工作温度。
其中,形成应变材料包括:旋涂工艺,并且工艺温度大于半导体器件的工作温度。
其中,形成应变材料包括:沉积金属材料,金属材料具有小于功函金属的热膨胀系数(CTE)的CTE。
其中,形成应变材料包括:沉积电介质材料,电介质材料具有大于功函金属的热膨胀系数(CTE)的CTE。
其中,形成应变材料包括:沉积具有小于功函金属的热膨胀系数(CTE)且不同于信号金属的CTE的CTE的材料。
附图说明
当阅读附图时,从以下详细描述中更好地理解本公开。应该强调的是,根据工业的标准实践,各种部件没有按比例绘制并且只是为了示意的目的。实际上,为了讨论的清楚,可以任意增加或减小各种部件的尺寸。
图1是根据本公开各个方面的制造半导体器件的方法的流程图。
图2至图9示出了根据图1的方法处于各个制造阶段的半导体器件的一个实施例的示意性截面侧视图。
图10示出了图2至图9的半导体器件的一个实施例的透视图。
图11示出了图2至图9的半导体器件的一个实施例以及应力方向的部分透视图。
图12示出了图2至图9的半导体器件的一个实施例以及应力方向的部分透视图。
具体实施方式
以下公开提供了用于实施本发明不同特征的许多不同的实施例或实例。以下描述部件和配置的具体实例以简化本公开。当然,这些仅是实例,而不用于限制的目的。例如,以下描述中第一部件形成在第二部件上或之上可包括第一和第二部件被形成为直接接触的实施例,并且还包括可以在第一和第二部件之间形成附加部件使得第一和第二部件没有直接接触的实施例。此外,本公开可以在各个实例中重复参考标号和/或字母。这种重复是为了简化和清楚的目的,而不是表示所讨论的各个实施例和/或结构之间的关系。此外,本文描述的部件可以以与本文所示示例性实施例不同的方式进行配置、组合或构造,而不背离本公开的范围。应该理解,本领域的技术人员能够想出各种对本发明的原理具体化的等价物,尽管这里没有明确描述。
可受益于本发明一个或多个实施例的器件的实例为半导体器件。例如,这种器件为鳍状场效应晶体管(FinFET)。例如,FinFET器件可以为P型金属氧化物半导体(PMOS)FinFET器件、N型金属氧化物半导体(NMOS)FinFET器件。以下公开将继续FinFET实例来示出本发明的各个实施例。然而,应该理解,本发明不应限于器件的具体类型,除非另有指定。
参照图1和图2至图10,以下统一描述方法100和半导体器件200。图1是根据本公开各个方面的用于制造集成电路器件/半导体器件的方法100的流程图。在本实施例中,方法100用于制造包括鳍状场效应晶体管(FinFET)器件的半导体器件。方法100开始于块102,提供衬底。在块104中,在衬底的上方形成鳍结构。鳍结构包括每一个都具有第一和第二侧壁的一个或多个鳍。鳍结构的形成可包括图案化掩模层并使用掩模层蚀刻半导体衬底。在块106中,绝缘材料被沉积在鳍结构的上方。绝缘材料可以被沉积使其覆盖鳍结构。可以执行平面化工艺,使得绝缘材料的顶面被平面化,露出鳍结构的顶部。该方法继续到块108,在绝缘材料上执行蚀刻工艺。蚀刻工艺包括深蚀刻(etch back)绝缘材料,使得鳍结构的侧壁被露出。在块110中,介电层和功函金属形成在鳍结构的上方。方法100继续到块112,在功函金属的上方形成应变材料,并在应变材料上执行深蚀刻工艺。深蚀刻可包括深蚀刻鳍结构的相邻鳍之间的应变材料以露出鳍结构的侧壁。在块114中,在功函金属和应变材料的上方形成信号金属。方法100继续到块116,完成集成电路器件的制造。可以在方法100之后、期间和之后提供附加步骤,并且对于方法的其他实施例来说,可以替换或消除所描述的一些步骤。以下讨论示出了可根据图1的方法100制造的集成电路器件的各个实施例。
图2至图9示出了根据图1的方法处于各个制造阶段的半导体器件的一个实施例的示意性截面图。此外,图10示出了图2至图9的半导体器件的一个实施例的透视图。FinFET器件包括任何基于鳍的多栅极晶体管。FinFET器件200可以包括在微处理器、存储单元、和/或其他集成电路器件中,为了清楚简化了图2至图10以更好地理解本公开的发明概念。可以在FinFET器件200中添加附加部件,并且在半导体器件200的其他实施例中可以替换或消除以下所描述的一些部件。
参照图2,FinFET器件200包括衬底(例如,晶片)210。衬底210为体硅衬底。可选地,衬底210包括基本半导体,诸如晶体结构的硅或锗;化合物半导体,诸如锗化硅、碳化硅、砷化镓、磷化稼、磷化铟、砷化铟和/或锑化铟;或者它们的组合。可选地,衬底210包括绝缘体上硅(SOI)衬底。SOI衬底可以使用氧注入分离(SIMOX)、晶片接合、和/或其他适当方法制造。衬底210可包括各种掺杂区域和其他适当部件。
进一步参照图2,衬底210上方形成的是介电层212。介电层212通过任何适当的工艺形成为任何适当的厚度。在本实施例中,介电层212包括氧化硅,并通过CVD或热氧化工艺形成。热氧化工艺可以为干式或湿式工艺。在各种实例中,氧化硅可以通过物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、其他适当方法、和/或它们的组合来形成。例如,CVD工艺可使用包括六氯乙硅烷(HCD或Si2Cl6)、二氯硅烷(DCS或SiH2Cl2)、二(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物。
介电层212上方形成的是掩模层214。在本实施例中,掩模层214包括氮化硅并通过CVD工艺形成。掩模层214可以为阻止/硬掩模层。掩模层214通过任何适当的工艺形成为任何适当的厚度。掩模层214可包括诸如氮化硅、氧化硅、氮氧化硅、碳化硅、氮碳化硅、其他适当的材料、或它们的组合的材料。在各个实例中,氮化硅可以通过物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、其他适当方法、和/或它们的组合来形成。例如,CVD工艺可使用包括六氯乙硅烷(HCD或Si2Cl6)、二氯硅烷(DCS或SiH2Cl2)、二(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物。
掩模层214上方形成的是光刻胶层216。光刻胶层216通过任何适当的工艺形成为任何适当的厚度。
参照图3,通过诸如光刻和蚀刻工艺的任何适当的工艺形成鳍结构218(包括多个鳍218a、218b、和218c,每一个都具有第一和第二侧壁)。在本实施例中,例如,鳍结构218通过将光刻胶层216暴露给图案,执行曝光后烘焙工艺,以及显影光刻胶层216以形成包括光刻胶层216和掩模层214的掩膜元件来形成。光刻胶层216图案化可以包括光刻胶涂覆、软烘焙、掩模对准、曝光图案、曝光后烘焙、显影光刻胶、和硬烘焙的处理步骤。图案化还可以通过其他适当的方法来实施或替换,诸如无掩模光刻、电子束写入、离子束写入、和分子压印。然后,遮蔽元件(包括光刻胶层216和掩模层214)可以在蚀刻工艺中用于将鳍结构218蚀刻到衬底210中。蚀刻工艺使用图案化的掩模层214以限定将被蚀刻的区域并保护FinFET器件200的其他区域。蚀刻工艺可包括湿蚀刻工艺、干蚀刻工艺、或它们的组合。鳍结构218可以通过使用反应离子蚀刻(RIE)和/或其他适当工艺的蚀刻工艺形成。在一个实例中,氢氟酸(HF)或稀释HF可用于蚀刻介电层212以根据由掩模层214限定的图案来曝光衬底210。在一个实例中,用于蚀刻衬底210的干蚀刻工艺包括化学物(其包括含氟气体)。在又一实例中,干蚀刻的化学物包括CF4、SF6、或NF3。可选地,鳍结构218通过双图案化光刻(DPL)工艺来形成。DPL是通过将图案划分为两个交错图案来在衬底上构造图案的方法。DPL使得增强了部件(例如,鳍)密度。可使用包括双重曝光(例如,使用两个掩模集合)的各种DPL方法。
参照图4,衬底210的上方(和鳍结构218的上方)沉积的是绝缘材料220。沉积绝缘材料220,使得绝缘材料220环绕鳍结构218的每个鳍218a、218b和218c并将它们与其他鳍隔离。绝缘材料220可包括诸如氧化硅、氮化硅、氮氧化硅、低k材料、气隙、其他适当材料或它们的组合的绝缘材料。在本实施例中,绝缘材料220包括氧化硅。氧化硅可通过CVD工艺来沉积。在各个实例中,氧化硅可通过物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、其他适当方法、和/或它们的组合来形成。可选地,氧化硅可通过高纵横比工艺(HARP)来形成。在各个实施例中,可以生长任选的热氧化物沟槽衬垫以改善沟槽界面。例如,CVD工艺可使用包括六氯乙硅烷(HCD或Si2Cl6)、二氯硅烷(DCS或SiH2Cl2)、二(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物。绝缘材料220可具有多层结构,例如,具有形成在衬垫上方的氮化硅的热氧化物衬垫。
参照图5,对FinFET器件200上执行平面化工艺。在一个实施例中,平面化工艺包括应用于FinFET器件200的化学机械抛光(CMP)工艺,以去除绝缘材料220的过量部分。可以执行平面化工艺,使得介电层212被去除,由此露出鳍结构218的顶部。
参照图6,蚀刻工艺用于深蚀刻FinFET器件200的中心区域中的过量绝缘材料220,由此露出鳍结构218的每个鳍的第一和第二侧壁的部分。蚀刻工艺可包括湿蚀刻、干蚀刻、或它们的组合。在一个实例中,干蚀刻工艺可包括形成光刻胶层,图案化光刻胶层,蚀刻绝缘材料220,以及去除光刻胶层。在又一实例中,用于蚀刻隔离材料的干蚀刻工艺可包括化学物(其包括含氟气体)。在又一实例中,干蚀刻的化学物包括CF4、SF6或NF3
参照图7,FinFET器件200包括介电层222。介电层222形成在鳍结构218的中心部分上并横跨鳍结构218的每个鳍218a、218b和218c。在一些实施例中,介电层222可包括氧化硅、氮化硅、氮氧化硅、或高k电介质。高k电介质包括特定的金属氧化物。用于高k电介质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu和它们的混合物的氧化物。在本实施例中,介电层222为包括HfOx的高k介电层。介电层222可使用适当的工艺来形成,诸如原子层沉积(ALD)、化学汽相沉积(CVD)、物理汽相沉积(PVD)、热氧化、UV臭氧氧化、或它们的组合。介电层222可进一步包括界面层(未示出)以减小介电层222和衬底210之间的损伤。界面层可包括氧化硅。
仍然参照图7,FinFET器件200还包括功函金属224,其形成在介电层222上并横跨鳍结构218的每个鳍218a、218b和218c。功函金属224可通过任何适当的工艺形成为任何适当的厚度。功函金属224包括诸如Al、Cu、Ti、Ta、W、Mo、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他导电金属或它们的组合的金属。功函金属224通过任何适当的沉积工艺来形成。例如,沉积工艺包括化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、金属有机CVD(MOCVD)、远程等离子体CVD(RPCVD)、等离子体增强CVD(PECVD)、低压CVD(LPCVD)、原子层CVD(ALCVD)、大气压CVD(APCVD)、喷镀、其他适当方法或它们的组合。
继续参照图7,FinFET器件200包括形成在功函金属224上方的应变材料226。可形成应变材料226,使其夹置在FinFET器件200的鳍结构218的每个鳍218a、218b和218c之间的区域之间并基本上填满鳍结构218的每个鳍218a、218b和218c之间的区域。选择应变材料226,使其具有与功函金属224不同的热膨胀系数(CTE)。此外,可以选择应变材料226,使其具有不同于随后形成的信号金属(参见图9,标为228)的CTE。应变材料226的CTE可以小于或大于信号金属的CTE。应变材料226可包括电介质材料或金属材料。例如,应变材料226可包括诸如聚酰亚胺(PI)、气隙、金属(诸如硅化钛(TiSi))的电介质或者任何其他适当的电介质或金属材料。如以下所讨论的,选择应变材料226使其在沟道区域的电流流动方向上引起压缩应变或拉伸应变。
应变材料226通过任何适当的工艺来形成。在本实施例中,应变材料226通过旋涂工艺来形成。可选地,应变材料226通过包括化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、金属有机CVD(MOCVD)、远程等离子体CVD(RPCVD)、等离子体增强CVD(PECVD)、低压CVD(LPCVD)、原子层CVD(ALCVD)、大气压CVD(APCVD)、喷镀、其他适当方法、或它们的组合的工艺来形成。
用于形成应变材料226的工艺可包括利用任何适当的工艺温度(Tp),使得在工作温度(To)经历应变材料226和功函金属224之间的体积膨胀差。在本实施例中,工艺温度(Tp)包括低于FinFET器件200的工作温度(To)的温度。可选地,工艺温度(Tp)包括大于FinFET器件200的工作温度(To)的温度。工艺温度(Tp)可以为通过其他工艺利用的温度或者不被其他工艺利用的温度。例如,形成功函金属224的工艺可以利用也可以不利用工艺温度(Tp)。工艺是否利用工艺温度(Tp)取决于FinFET器件200的设计要求和预期应变特性。应该理解,形成FinFET器件200的其他结构/层的工艺可以利用大于工艺温度(Tp)的温度。如进一步理解的,形成FinFET器件200的其他结构/层的工艺可以利用小于工艺温度(Tp)的温度。
在本实施例中,例如,形成应变材料226的工艺使用大约为室温(例如,大约20至30摄氏度)的工艺温度(Tp)。此外,形成功函金属224的工艺利用基本上与工艺温度(Tp)相同的温度。在工作期间,在大约为室温的初始工作温度(To)处(例如,当FinFET器件被初始导通时),应变材料226将在沟道区域中引起大约为零的应力,因为功函金属224和应变材料226之间的体积膨胀差大约为零。在最终的工作温度(To)处(例如,当FinFET器件200通电一段时间时),经历功函金属224和应变材料226之间的体积膨胀差。体积膨胀差使得应变材料226在鳍结构218的鳍218a、218b和218c的沟道区域中引起应力。功函金属224和应变材料226之间的体积膨胀差为每种材料的CTE的函数。体积膨胀差可以为正(即,随着工作温度(To)增加,应变材料226比功函金属224膨胀更多)。可选地,体积膨胀差可以为负(即,随着工作温度(To)增加,应变材料226比功函金属224膨胀得少)。因此,在任何工作温度(To)处由应变材料226在沟道区域中引起的应力是工艺温度(Tp)、功函金属224的CTE、和应变材料226的CTE的函数。
在可选实施例中,工艺温度(Tp)包括大于FinFET器件200的工作温度(To)的温度。在这种实施例中,例如,形成应变材料226的工艺使用大约400摄氏度的工艺温度(Tp)。在大约为室温的初始工作温度(To)处(例如,当FinFET器件被初始导通时),应变材料226将在沟道区域中引起最大应力,因为功函金属224和应变材料226之间的体积膨胀差最大。在最初工作温度(To)处(例如,当FinFET器件200通电一段时间时),功函金属224和应变材料226之间的体积膨胀差将变得小于最大值。随着工作温度(To)接近大约为400摄氏度的工艺温度(Tp),体积膨胀差接近零,并且由应变材料引起的应力接近零。体积膨胀差使得应变材料226在鳍结构218的鳍218a、218b和218c的沟道区域中引起应力。因此,在任何工作温度(To)处由应变材料226在沟道区域中引起的应力为工艺温度(Tp)、功函金属224的CTE和应变材料226的CTE的函数。
参照图8,应变材料226在鳍结构218的每个鳍218a、218b、和218c之间的区域内凹陷。在本实施例中,例如,通过蚀刻工艺执行应变材料226的凹陷。蚀刻工艺可包括湿蚀刻或干蚀刻工艺或者它们的组合。在一个实例中,用于蚀刻应变材料226的干蚀刻工艺可包括化学物(其包括含氟气体)。在又一实例中,干蚀刻的化学物包括CF4、SF6、NF3、或适用于应变材料226的任何适当的化学物。湿蚀刻工艺可包括包含HCl、HF的化学物或者适合于应变材料226的任何适当的化学物。
参照图9,功函金属224和应变材料226上方形成的是信号金属228。信号金属228横跨鳍结构218的每个鳍218a、218b和218c,并分离FinFET器件200的源极和漏极(S/D)区域。对于鳍结构218的每个鳍218a、218b、和218c,S/D区域在它们之间限定沟道区域。信号金属228包括任何适当的导电材料。例如,信号金属228包括Al、Cu、Mo、其他导电材料或者它们的组合。信号金属228可包括多种其他层,例如,覆盖层、界面层、扩散层、阻挡层、或它们的组合。硬掩模层可形成在信号金属228的上方。硬掩模层可包括氧化硅、氮化硅、氮氧化硅、碳化硅、其他适当的材料、或者它们的组合。
信号金属228通过适当的工艺来形成,包括沉积、光刻图案化、和蚀刻工艺。沉积工艺包括化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、金属有机CVD(MOCVD)、远程等离子体CVD(RPCVD)、等离子体增强CVD(PECVD)、低压CVD(LPCVD)、原子层CVD(ALCVD)、大气压CVD(APCVD)、喷镀、其他适当方法或它们的组合。光刻图案化工艺包括光刻胶涂覆(例如,旋涂)、软烘焙、掩模对准、曝光、曝光后烘焙、显影光刻胶、冲洗、干燥(例如,硬烘焙)、其他适当工艺或者它们的组合。可选地,光刻曝光工艺通过其他方法来实施或替换,诸如无掩模光刻、电子束写入和离子束写入。在又一可选实施例中,光刻图案化工艺可以实施纳米压印技术。蚀刻工艺包括干蚀刻、湿蚀刻、和/或其他蚀刻方法。
图10示出了图2至图9的半导体器件的一个实施例的透视图。参照图10,FinFET器件200包括衬底210、鳍结构218(包括多个鳍218a、218b和218c)、绝缘材料226、介电层222、功函金属224、应变材料226、和信号金属228。此外,FinFET器件200还包括形成在鳍结构218的S/D区域232中的源极和漏极(S/D)部件230。对于鳍结构218的每个鳍218a、218b和218c,S/D区域232在它们之间限定沟道区域。形成S/D部件230可包括凹陷一个或多个鳍218a、218b和218c的每一个的一部分,以及在一个或多个鳍218a、218b和218c的每一个的凹陷部分上取向附生(外延)地生长半导体材料。S/D部件230可通过向半导体材料添加杂质或者通过离子注入工艺来掺杂。例如,S/D区域232可掺杂有磷。掺杂的S/D区域232可具有梯度掺杂轮廓。在形成S/D部件232之前或之后,可以执行注入、扩散、和/或退火工艺来在FinFET器件200的S/D区域232中形成重掺杂S/D(HDD)部件,如果FinFET器件200为PMOS器件,则其为p型,或者如果FinFET器件200为NMOS器件,则其为n型。
图11示出了图2至图9的半导体器件的一个实施例以及应力方向的部分透视图。参照图11,FinFET器件200为PMOS器件。在所示实施例中,在工作期间,当功函金属224的CTE大于应变材料226的CTE时,PMOSFinFET器件200经历增强的载流子迁移率。例如,功函金属224包括TiN(其具有大约为9.35x10-6K-1的平均CTE),而应变材料226包括TiSi(其具有大约为5.28x10-6K-1的平均CTE)。随着工作期间PMOS FinFET器件200的工作温度(To)的增加,应变材料226体积膨胀变得小于功函金属224的体积膨胀,从而在Szz<110>方向上引入拉伸应力,而在PMOSFinFET器件200的电流流动Sxx<110>方向上引起压缩应力。在这种实施例中,执行形成应变材料226的工艺,使得应变材料226在大约为室温的工作温度(To)处在Szz<110>方向的沟道区域中引入大约为零的应力。应该理解,PMOS FinFET器件200的沟道区域中的应力可以通过调节应变材料226形成工艺(包括工艺温度(Tp))以及通过选择用于具有不同CTE特性的功函金属224和应变材料226的材料的不同组合来调整,从而调节工作温度(To)处功函金属224和应变材料226的体积膨胀差。
图12示出了图2至图9的半导体器件的一个实施例以及应力方向的部分透视图,参照图12,FinFET器件200为NMOS器件。在所示实施例中,当功函金属224的CTE小于应变材料226的CTE时,NMOS FinFET器件200经历增强的载流子迁移率。例如,功函金属224包括TiAl(其具有大约为12.3x10-6K-1的平均CTE),而应变材料226包括PI(其具有大约为61x10-6K-1的平均CTE),并且可进一步包括气隙。随着工作期间NMOSFinFET器件200的工作温度(To)的增加,应变材料226体积膨胀变得大于功函金属224的体积膨胀,从而在Szz<110>方向上引入压缩应力,而在NMOS FinFET器件200的电流流动Sxx<110>方向上引入拉伸应力。在这种实施例中,执行形成应变材料226的工艺,使得应变材料226在大约为室温的工作温度(To)处在Szz<110>方向的沟道区域中引入大约为零的应力。应该理解,NMOS FinFET器件200的沟道区域中的应力可以通过调节应变材料226形成工艺(包括工艺温度(Tp))以及通过选择用于具有不同CTE特性的功函金属224和应变材料226的材料的不同组合来调整,从而调节工作温度(To)处功函金属224和应变材料226的体积膨胀差。
方法100和FinFET器件200的优点在于,应变材料226在FinFET器件200的电流流动方向上引入压缩或拉伸应力中的一种。当与传统的FinFET器件相比时,引入的压缩/拉伸应力导致FinFET器件200的沟道区域的增加/更高的应变,从而提高了FinFET器件200的载流子迁移率。此外,用于实现本文所述应变结构的所公开方法容易在当前处理中实施。不同的实施例可具有不同的优点,并且对于任何实施例不要求特定的优点。
FinFET器件200可包括可通过后续处理形成的附加部件。例如,各种接触/通孔/线和多层互连部件(例如,金属层和层间电介质)可以形成在衬底210的上方,被配置为连接FinFET器件200的各种部件或结构。附加部件可以提供针对FinFET器件200的电互连。例如,多层互连包括诸如传统通孔或接触的垂直互连以及诸如金属线的水平互连。各种互连部件可以实施各种导电材料,包括铜、钨、和/或硅化物。在一个实例中,镶嵌和/或双镶嵌被用于形成与铜相关的多层互连结构。
因此,提供了一种半导体器件。示例性半导体器件包括衬底,其包括设置在衬底上方的鳍结构。鳍结构包括一个或多个鳍。半导体器件还包括绝缘材料,设置在一个或多个鳍的每一个之间的区域中的衬底上。半导体器件还包括介电层,其横跨一个或多个鳍的每一个并在一个或多个鳍的每一个之间的区域中的绝缘材料上。此外,半导体器件还包括功函金属,其横跨一个或多个鳍的每一个并在一个或多个鳍的每一个之间的区域中的介电层上。半导体器件还包括应变材料,其设置在一个或多个鳍的每一个之间的区域中的功函金属上。此外,半导体器件包括信号金属,其形成在功函金属和应变材料的上方,并横跨一个或多个鳍的每一个。
在一些实施例中,应变材料具有不同于功函金属的热膨胀系数(CTE)和信号金属的CTE的CTE。信号金属分离半导体器件的源极和漏极区域。源极和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域。在特定实施例中,对于一个或多个鳍的每一个,应变材料在沟道区域的电流流动方向上引入压缩应力。在各个实施例中,对于一个或多个鳍的每一个,应变材料在沟道区域的电流流动方向上引入拉伸应力。在特定实施例中,从由体硅和绝缘体上硅(SOI)组成的组中选择衬底。在又一些实施例中,半导体器件为P型金属氧化物半导体(PMOS)鳍状场效应晶体管(FinFET)器件或N型金属氧化物半导体(NMOS)鳍状场效应晶体管(FinFET)器件中的一种,并且半导体器件包括在集成电路器件中。在一些实施例中,从由电介质材料和金属材料组成的组中选择应变材料。在又一些实施例中,从由聚酰亚胺(PI)、气隙和硅化钛(TiSi)组成的组中选择应变材料,以及在由氮化钛(TiN)和铝化钛(TiAl)组成的组中选择功函金属。
还公开了半导体器件的可选实施例。示例性半导体器件包括衬底。半导体器件还包括鳍结构,其包括设置在衬底之上的一个或多个鳍的鳍结构。半导体器件还包括介电层,其设置在鳍结构的中心部分上并横跨一个或多个鳍的每一个。半导体器件还包括功函金属,其设置在介电层上并横跨一个或多个鳍的每一个。半导体器件还包括应变材料,设置在功函金属层上并夹置在一个或多个鳍的每一个之间。半导体器件还包括信号金属,其设置在功函金属和应变材料上,并横跨一个或多个鳍的每一个。
在一些实施例中,信号金属分离半导体器件的源极和漏极区域,源极和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域。应变材料在鳍结构的一个或多个鳍的至少一个鳍的沟道区域的电流流动方向上引入压缩应力。应变材料具有不同于功函金属的热膨胀系数(CTE)和信号金属的CTE的CTE。在各个实施例中,信号金属分离半导体器件的源极和漏极区域,源极和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域。应变材料在鳍结构的一个或多个鳍的至少一个鳍的沟道区域的电流电流方向上引入拉伸应力。应变材料具有大于功函金属的热膨胀系数(CTE)且不同于信号金属的CTE的CTE。在特定实施例中,半导体器件为P型金属氧化物半导体(PMOS)鳍状场效应晶体管(FinFET)器件。在其他实施例中,半导体器件为N型金属氧化物半导体(NMOS)鳍状场效应晶体管(FinFET)器件。
还提供了一种方法。该方法包括:提供衬底;以及在衬底上方形成包括一个或多个鳍的鳍结构,一个或多个鳍的每一个都包括第一和第二侧壁。该方法还包括:在衬底和鳍结构上沉积绝缘材料。绝缘材料充分填满一个或多个鳍的每一个之间的区域。该方法还包括:从一个或多个鳍的每一个之间的区域中去除绝缘材料的一部分,使得一个或多个鳍的每一个的第一和第二侧壁的一部分被露出。该方法还包括:在一个或多个鳍的每一个的中心部分的上方形成介电层。该方法还包括:形成功函金属,其在介电层的上方并设置在一个或多个鳍的每一个之间的区域中。该方法还包括:在功函金属上形成应变材料。应变材料设置在一个或多个鳍的每一个之间的区域中。该方法还包括:深蚀刻一个或多个鳍的每一个之间的区域中的应变材料。
在一些实施例中,该方法还包括:在功函金属和深蚀刻的应变材料的上方形成信号金属。信号金属横跨一个或多个鳍的每一个并分离半导体器件的源极和漏极区域。源极和漏极区域在它们之间限定用于一个或多个鳍的每一个的沟道区域。该方法还包括:在源极和漏极区域中形成源极和漏极部件。形成源极和漏极部件包括:凹陷一个或多个鳍的每一个的一部分;以及在一个或多个鳍的每一个的凹陷部分上取向附生(外延)地生长半导体材料。
在一些实施例中,形成应变材料包括旋涂工艺,并且工艺温度小于半导体器件的工作温度。在特定实施例中,形成应变材料包括旋涂工艺,并且工艺温度大于半导体器件的工作温度。在又一些实施例中,形成应变材料包括:沉积金属材料,其具有小于功函金属的热膨胀系数(CTE)的CTE。在一些实施例中,形成应变材料包括:沉积金属材料,其具有大于功函金属的热膨胀系数(CTE)的CTE。在又一些实施例中,形成应变材料包括:沉积具有小于功函金属的热膨胀系数(CTE)且不同于信号金属的CTE的CTE的材料。
前面概述了多个实施例的特征,使得本领域的技术人员可以更好地理解本公开的各个方面。本领域的技术人员应该意识到,他们可以容易地将本公开用作用于设计或修改用于执行与本文引入实施例相同的目的和/或实现相同优点的其他工艺和结构的基础。本领域的技术人员还应该意识到,这种等效构造不背离本公开的精神和范围,并且他们可以进行各种改变、替换和修改而不背离本公开的精神和范围。

Claims (20)

1.一种半导体器件,包括:
衬底,包括设置在所述衬底上方的鳍结构,所述鳍结构包括一个或多个鳍;
绝缘材料,设置在所述衬底上,并形成在所述一个或多个鳍的每一个之间的区域中;
介电层,横跨所述一个或多个鳍的每一个并形成在所述一个或多个鳍的每一个之间的区域中的绝缘材料上;
功函金属,横跨所述一个或多个鳍的每一个并形成在所述一个或多个鳍的每一个之间的区域中的所述介电层上;
应变材料,设置在所述一个或多个鳍的每一个之间的区域中的所述功函金属上;以及
信号金属,横跨所述一个或多个鳍的每一个并形成在所述功函金属和所述应变材料上。
2.根据权利要求1所述的半导体器件,其中,所述应变材料具有不同于所述功函金属的热膨胀系数(CTE)和所述信号金属的CTE的CTE,以及
其中,所述信号金属分离所述半导体器件的源极区域和漏极区域,所述源极区域和所述漏极区域在它们之间限定用于所述一个或多个鳍的每一个的沟道区域。
3.根据权利要求2所述的半导体器件,其中,对于所述一个或多个鳍的每一个,所述应变材料在所述沟道区域的电流流动方向上引入压缩应力。
4.根据权利要求2所述的半导体器件,其中,对于所述一个或多个鳍的每一个,所述应变材料在所述沟道区域的电流流动方向上引入拉伸应力。
5.根据权利要求1所述的半导体器件,其中,所述半导体器件为P型金属氧化物半导体(PMOS)鳍状场效应晶体管(FinFET)器件或N型金属氧化物半导体(NMOS)鳍状场效应晶体管(FinFET)器件中的一种,以及其中,所述半导体器件包括在集成电路器件中。
6.根据权利要求1所述的半导体器件,其中,从由电介质材料和金属材料组成的组中选择所述应变材料。
7.根据权利要求1所述的半导体器件,其中,所述应变材料包括硅化钛(TiSi),以及所述功函金属包括氮化钛(TiN)。
8.根据权利要求1所述的半导体器件,其中,所述应变材料包括聚酰亚胺(PI),以及所述功函金属包括铝化钛(TiAl)。
9.一种半导体器件,包括:
衬底;
鳍结构,包括设置在所述衬底之上的一个或多个鳍的鳍结构;
介电层,设置在所述鳍结构的中心部分上并横跨所述一个或多个鳍的每一个;
功函金属,设置在所述介电层上并横跨所述一个或多个鳍的每一个;
应变材料,设置在所述功函金属层上并夹置在所述一个或多个鳍的每一个之间;以及
信号金属,设置在所述功函金属和所述应变材料上,并横跨所述一个或多个鳍的每一个。
10.根据权利要求9所述的半导体器件,其中,所述信号金属分离所述半导体器件的源极区域和漏极区域,所述源极区域和所述漏极区域在它们之间限定用于所述一个或多个鳍的每一个的沟道区域,
其中,所述应变材料在所述鳍结构的所述一个或多个鳍的至少一个鳍的沟道区域的电流流动方向上引入压缩应力,以及
其中,所述应变材料具有不同于所述功函金属的热膨胀系数(CTE)和所述信号金属的CTE的CTE。
11.根据权利要求9所述的半导体器件,其中,所述信号金属分离所述半导体器件的源极区域和漏极区域,所述源极区域和漏极区域在它们之间限定用于所述一个或多个鳍的每一个的沟道区域,
其中,所述应变材料在所述鳍结构的所述一个或多个鳍的至少一个鳍的沟道区域的电流电流方向上引入拉伸应力,以及
其中,所述应变材料具有大于所述功函金属的热膨胀系数(CTE)且不同于所述信号金属的CTE的CTE。
12.根据权利要求10所述的半导体器件,其中,所述半导体器件为P型金属氧化物半导体(PMOS)鳍状场效应晶体管(FinFET)器件。
13.根据权利要求11所述的半导体器件,其中,所述半导体器件为N型金属氧化物半导体(NMOS)鳍状场效应晶体管(FinFET)器件。
14.一种用于制造半导体器件的方法,包括:
提供衬底;
在所述衬底上方形成包括一个或多个鳍的鳍结构,所述一个或多个鳍的每一个都包括第一侧壁和第二侧壁;
在所述衬底和所述鳍结构上沉积绝缘材料,所述绝缘材料充分填满所述一个或多个鳍的每一个之间的区域;
从所述一个或多个鳍的每一个之间的区域中去除所述绝缘材料的一部分,使得所述一个或多个鳍的每一个的第一侧壁和第二侧壁的一部分被露出;
在所述一个或多个鳍的每一个的中心部分的上方形成介电层;
形成功函金属,所述功函金属在所述介电层的上方并设置在所述一个或多个鳍的每一个之间的区域中;
在所述功函金属上形成应变材料,所述应变材料设置在所述一个或多个鳍的每一个之间的区域中;以及
深蚀刻所述一个或多个鳍的每一个之间的区域中的所述应变材料。
15.根据权利要求14所述的方法,还包括:
在所述功函金属和深蚀刻的应变材料的上方形成信号金属,所述信号金属横跨所述一个或多个鳍的每一个并分离所述半导体器件的源极区域和漏极区域,所述源极区域和所述漏极区域在它们之间限定用于所述一个或多个鳍的每一个的沟道区域,以及
在所述源极区域和所述漏极区域中形成源极部件和漏极部件,
其中,形成所述源极部件和所述漏极部件包括:凹陷所述一个或多个鳍的每一个的一部分;以及在所述一个或多个鳍的每一个的凹陷部分上取向附生(外延)地生长半导体材料。
16.根据权利要求14所述的方法,其中,形成所述应变材料包括:
旋涂工艺,并且
工艺温度小于所述半导体器件的工作温度。
17.根据权利要求14所述的方法,其中,形成所述应变材料包括:
旋涂工艺,并且
工艺温度大于所述半导体器件的工作温度。
18.根据权利要求16所述的方法,其中,形成所述应变材料包括:沉积金属材料,所述金属材料具有小于所述功函金属的热膨胀系数(CTE)的CTE。
19.根据权利要求16所述的方法,其中,形成所述应变材料包括:沉积电介质材料,所述电介质材料具有大于所述功函金属的热膨胀系数(CTE)的CTE。
20.根据权利要求17所述的方法,其中,形成所述应变材料包括:沉积具有小于所述功函金属的热膨胀系数(CTE)且不同于信号金属的CTE的CTE的材料。
CN201210071713.8A 2011-10-13 2012-03-16 FinFET器件及其制造方法 Active CN103050530B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/272,305 2011-10-13
US13/272,305 US8723236B2 (en) 2011-10-13 2011-10-13 FinFET device and method of manufacturing same

Publications (2)

Publication Number Publication Date
CN103050530A CN103050530A (zh) 2013-04-17
CN103050530B true CN103050530B (zh) 2015-07-15

Family

ID=48063116

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210071713.8A Active CN103050530B (zh) 2011-10-13 2012-03-16 FinFET器件及其制造方法

Country Status (3)

Country Link
US (2) US8723236B2 (zh)
KR (1) KR101279211B1 (zh)
CN (1) CN103050530B (zh)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
CN103107192B (zh) * 2011-11-10 2016-05-18 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
JP5580355B2 (ja) * 2012-03-12 2014-08-27 株式会社東芝 半導体装置
US8921218B2 (en) * 2012-05-18 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate finFET device and method of fabricating thereof
US9142400B1 (en) * 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103811342B (zh) 2012-11-09 2017-08-25 中国科学院微电子研究所 鳍结构及其制造方法
US8829617B2 (en) * 2012-11-30 2014-09-09 International Business Machines Corporation Uniform finFET gate height
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
CN104183496B (zh) * 2013-05-24 2017-04-26 台湾积体电路制造股份有限公司 鳍式场效应晶体管器件的制造方法
US9000536B2 (en) * 2013-06-28 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor having a highly doped region
KR20150020848A (ko) * 2013-08-19 2015-02-27 에스케이하이닉스 주식회사 동작 전류가 개선된 수직 채널 pmos 트랜지스터, 이를 포함하는 저항 변화 메모리 장치 및 pmos 트랜지스터의 제조방법
CN103426931A (zh) * 2013-08-29 2013-12-04 电子科技大学 应变沟道鳍式场效应晶体管及其制作方法
US9590104B2 (en) 2013-10-25 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate device over strained fin structure
KR102130056B1 (ko) * 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
SG11201602987XA (en) * 2013-12-16 2016-05-30 Intel Corp Dual strained cladding layers for semiconductor devices
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN104766817B (zh) * 2014-01-08 2018-06-19 中国科学院微电子研究所 一种Fin-FET的沟槽隔离的形成方法
US9543407B2 (en) 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
KR102178831B1 (ko) 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9520498B2 (en) 2014-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
CN105097519A (zh) * 2014-04-30 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US20160035891A1 (en) * 2014-07-31 2016-02-04 Qualcomm Incorporated Stress in n-channel field effect transistors
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
KR102312262B1 (ko) 2014-09-02 2021-10-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10134861B2 (en) 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9450093B2 (en) 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
US9331074B1 (en) 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9406680B1 (en) * 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9406675B1 (en) 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
US9537007B2 (en) * 2015-04-07 2017-01-03 Qualcomm Incorporated FinFET with cut gate stressor
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9530889B2 (en) 2015-05-21 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9911806B2 (en) * 2015-05-22 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Solvent-based oxidation on germanium and III-V compound semiconductor materials
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10192985B2 (en) * 2015-07-21 2019-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with doped isolation insulating layer
US9583623B2 (en) 2015-07-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10032873B2 (en) 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
WO2017052610A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for bottom-up filling of three-dimensional semiconductor device topographies
US10109742B2 (en) * 2015-09-30 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9768313B2 (en) 2015-10-05 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having transition metal dichalcogenide layers with different thicknesses and methods of manufacture
US10622457B2 (en) 2015-10-09 2020-04-14 International Business Machines Corporation Forming replacement low-K spacer in tight pitch fin field effect transistors
DE102016114514B4 (de) 2015-10-20 2021-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur und Verfahren zu deren Herstellung
US9824943B2 (en) 2015-10-20 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9786737B2 (en) 2015-12-03 2017-10-10 International Business Machines Corporation FinFET with reduced parasitic capacitance
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US10490552B2 (en) 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
US11264452B2 (en) 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
US9673293B1 (en) 2016-02-18 2017-06-06 International Business Machines Corporation Airgap spacers
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US9941302B2 (en) * 2016-04-20 2018-04-10 International Business Machines Corporation Structure and method to form defect free high-mobility semiconductor fins on insulator
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9735246B1 (en) * 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
US9899382B2 (en) 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US9608065B1 (en) 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9634143B1 (en) * 2016-07-21 2017-04-25 Globalfoundries Inc. Methods of forming FinFET devices with substantially undoped channel regions
US9870926B1 (en) 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10026840B2 (en) 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US10510618B2 (en) 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10872889B2 (en) 2016-11-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10529861B2 (en) 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US9935173B1 (en) 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10431670B2 (en) 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
CN108807535B (zh) * 2017-05-05 2021-07-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US11342457B2 (en) 2017-09-18 2022-05-24 Intel Corporation Strained thin film transistors
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
TW201946112A (zh) * 2018-04-24 2019-12-01 美商應用材料股份有限公司 移除高深寬比結構中的ⅲ-v材料的方法
US10861973B2 (en) 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with a diffusion blocking layer
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11393711B2 (en) * 2018-11-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxide layer for oxidation resistance and method forming same
US11101347B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11557590B2 (en) 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
US11522064B2 (en) 2020-04-28 2022-12-06 Samsung Electronics Co., Ltd. Metal oxide semiconductor field-effect transistor (MOSFET) devices and manufacturing methods thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101183664A (zh) * 2006-11-14 2008-05-21 国际商业机器公司 半导体结构及制造多个鳍片场效应晶体管的方法
CN102237408A (zh) * 2010-05-06 2011-11-09 台湾积体电路制造股份有限公司 场效应晶体管与半导体元件的制造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
JP4456816B2 (ja) * 2003-01-29 2010-04-28 川崎マイクロエレクトロニクス株式会社 半導体装置およびその製造方法
KR100521384B1 (ko) * 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7425740B2 (en) * 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
KR100748261B1 (ko) 2006-09-01 2007-08-09 경북대학교 산학협력단 낮은 누설전류를 갖는 fin 전계효과트랜지스터 및 그제조 방법
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101183664A (zh) * 2006-11-14 2008-05-21 国际商业机器公司 半导体结构及制造多个鳍片场效应晶体管的方法
CN102237408A (zh) * 2010-05-06 2011-11-09 台湾积体电路制造股份有限公司 场效应晶体管与半导体元件的制造方法

Also Published As

Publication number Publication date
US8723236B2 (en) 2014-05-13
KR101279211B1 (ko) 2013-06-26
CN103050530A (zh) 2013-04-17
US20130092984A1 (en) 2013-04-18
US20140206166A1 (en) 2014-07-24
KR20130040110A (ko) 2013-04-23
US8828823B2 (en) 2014-09-09

Similar Documents

Publication Publication Date Title
CN103050530B (zh) FinFET器件及其制造方法
US9685344B2 (en) Method of fabricating a semiconductor device including a plurality of isolation features
US8623721B2 (en) Silicide formation and associated devices
CN103187418B (zh) 一种CMOS FinFET器件及其形成方法
CN103035713B (zh) FinFET器件及其制造方法
CN103456736B (zh) 半导体器件及其形成方法
CN103578954B (zh) 具有金属栅极的半导体集成电路
US11094626B2 (en) Methods of forming interconnect structures in semiconductor fabrication
CN103247602B (zh) 半导体器件及其形成方法
CN104867975A (zh) 场效应晶体管的接触蚀刻停止层
CN102214579A (zh) 半导体元件的制作方法及半导体元件
CN103811550A (zh) 半导体器件的接触结构
CN102903742A (zh) 场效应晶体管的金属栅电极
CN103972213A (zh) 具有多级互连的半导体器件及其形成方法
TW202016983A (zh) 積體電路結構的形成方法和半導體結構
TWI749986B (zh) 半導體元件及其形成方法
CN106158932A (zh) 具有TaAlCN层的金属栅极堆叠件
TWI827115B (zh) 半導體裝置及其形成方法
US11967552B2 (en) Methods of forming interconnect structures in semiconductor fabrication
TW202232584A (zh) 電晶體及形成源極/汲極區域的方法
CN113571473A (zh) 间隙填充结构及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant