CN104867975A - 场效应晶体管的接触蚀刻停止层 - Google Patents

场效应晶体管的接触蚀刻停止层 Download PDF

Info

Publication number
CN104867975A
CN104867975A CN201410181541.9A CN201410181541A CN104867975A CN 104867975 A CN104867975 A CN 104867975A CN 201410181541 A CN201410181541 A CN 201410181541A CN 104867975 A CN104867975 A CN 104867975A
Authority
CN
China
Prior art keywords
cesl
grid structure
effect transistor
distance
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410181541.9A
Other languages
English (en)
Inventor
刘继文
王昭雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104867975A publication Critical patent/CN104867975A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7812Vertical DMOS transistors, i.e. VDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

本发明涉及场效应晶体管。用于场效应晶体管的示例性结构包括:衬底;设置在衬底中的源极区和漏极区;位于衬底上方的包括侧壁和顶面的栅极结构,其中,栅极结构介于源极区和漏极区之间;位于栅极结构的顶面的至少一部分上方的接触蚀刻停止层(CESL);位于CESL上方的层间介电层;延伸穿过层间介电层的栅极接触件;以及延伸穿过层间介电层的源极接触件和漏极接触件,其中,源极接触件的边缘与CESL的第一相应边缘之间的第一距离为约1nm至约10nm。本发明还提供了场效应晶体管的接触蚀刻停止层。

Description

场效应晶体管的接触蚀刻停止层
技术领域
本发明涉及集成电路制造,更具体地,涉及具有接触蚀刻停止层的场效应晶体管。
背景技术
在一些集成电路(IC)设计中,随着技术节点的缩小,期望用金属栅电极代替通常的多晶硅栅电极以在减小的部件尺寸情况下改进器件的性能。一种形成金属栅极结构的工艺称为“后栅极”工艺,其中“最后”制造最终的栅极结构,这实现了后续工艺数量降低,后续工艺包括必须在形成栅极之后实施的高温处理。另外,随着晶体管尺寸的降低,必须降低栅极氧化物的厚度以在栅极长度减小的情况下保持性能。为了降低栅极泄漏,也使用高介电常数(高k)的栅极介电层,这允许了更大的物理厚度,同时保持与将由较大技术节点中使用的栅极氧化物的较薄层提供的相同的有效厚度。
然而,在互补金属氧化物半导体(CMOS)制造中存在实施这种特征和工艺的挑战。由于栅极长度和器件之间间隔的减小,加剧了这些问题。例如,由于接触件的未对准,源极/漏极区可以与金属栅极结构短接。
发明内容
为解决现有技术中的问题,本发明提供了一种场效应晶体管,包括:衬底;源极区和漏极区,设置在所述衬底中;栅极结构,位于所述衬底上方,包括侧壁和顶面,其中,所述栅极结构介于所述源极区和所述漏极区之间;接触蚀刻停止层(CESL),位于所述栅极结构的所述顶面的至少一部分上方;层间介电层,位于所述CESL上方;栅极接触件,延伸穿过所述层间介电层;以及源极接触件和漏极接触件,延伸穿过所述层间介电层,其中,所述源极接触件的边缘与所述CESL的第一相应边缘之间的第一距离为约1nm至约10nm。
在上述场效应晶体管中,还包括所述漏极接触件的边缘与所述CESL的第二相应边缘之间的第二距离,其中,所述第二距离为约1nm至约10nm。
在上述场效应晶体管中,还包括所述漏极接触件的边缘与所述CESL的第二相应边缘之间的第二距离,其中,所述第二距离为约1nm至约10nm;所述第一距离基本上等于所述第二距离。
在上述场效应晶体管中,还包括所述漏极接触件的边缘与所述CESL的第二相应边缘之间的第二距离,其中,所述第二距离为约1nm至约10nm;所述第一距离与所述第二距离不同。
在上述场效应晶体管中,还包括所述漏极接触件的边缘与所述CESL的第二相应边缘之间的第二距离,其中,所述第二距离为约1nm至约10nm;所述第一距离与所述第二距离的比率介于约0.5至约1.5之间。
在上述场效应晶体管中,其中,所述CESL包括选自由Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC、TiAlCN和它们的组合组成的组中的材料。
在上述场效应晶体管中,其中,所述CESL包括氮化硅或碳掺杂的氮化硅。
在上述场效应晶体管中,其中,所述栅极接触件还包括延伸穿过所述CESL的部分。
在上述场效应晶体管中,其中,所述栅极接触件还包括延伸穿过所述CESL的部分;所述CESL的宽度大于或等于所述栅极结构的宽度。
根据本发明的另一个方面,提供了一种场效应晶体管,包括:衬底,包括表面;源极区和漏极区,设置在所述衬底中;栅极结构,位于所述衬底上方,包括侧壁和顶面,其中,所述栅极结构介于所述源极区和所述漏极区之间;间隔件对,邻近所述栅极结构的所述侧壁;第一接触蚀刻停止层(CESL),位于所述间隔件对上方并且沿着所述衬底的所述表面延伸;第二CESL,位于所述栅极结构的所述顶面的至少一部分上方;层间介电层,位于所述第一CESL和所述第二CESL上方;栅极接触件,延伸穿过所述层间介电层;以及源极接触件和漏极接触件,延伸穿过所述层间介电层和所述第一CESL,其中,所述源极接触件的边缘与所述第二CESL的相应边缘之间的距离为约1nm至约10nm。
在上述场效应晶体管中,其中,所述第二CESL的宽度大于或等于所述栅极结构的宽度。
在上述场效应晶体管中,其中,所述第二CESL还包括在所述第一CESL的顶面上延伸的部分。
在上述场效应晶体管中,其中,所述栅极接触件还包括延伸穿过所述第二CESL的部分。
在上述场效应晶体管中,其中,所述第二CESL包括选自由Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC、TiAlCN和它们的组合组成的组中的材料。
在上述场效应晶体管中,其中,所述第二CESL包括氮化硅或碳掺杂的氮化硅。
在上述场效应晶体管中,其中,所述第一CESL的厚度小于所述第二CESL的厚度。
在上述场效应晶体管中,其中,所述第二CESL的厚度与所述第一CESL的厚度的比率为从约1.05至约1.15。
在上述场效应晶体管中,其中,所述第一CESL和所述第二CESL包括不同的材料。
在上述场效应晶体管中,其中,所述第一CESL和所述第二CESL包括相同的材料。
根据本发明的又一个方面,提供了一种用于制造场效应晶体管的方法,包括:在衬底上方提供包括侧壁和顶面的栅极结构;形成邻近所述栅极结构的所述侧壁的间隔件对;在所述栅极结构的两侧的所述衬底中形成源极/漏极(S/D)区;在所述间隔件对和所述栅极结构的所述顶面上方沉积第一接触蚀刻停止层(CESL);在所述第一CESL上方沉积第一层间介电(ILD)层;在所述第一ILD层和所述第一CESL上实施化学机械抛光以露出所述栅极结构;在所述第一CESL和所述栅极结构上方沉积第二CESL;图案化所述第二CESL以去除所述第二CESL位于所述S/D区的一部分上方的部分;在所述第一ILD层和所述第二CESL上方沉积第二ILD层;以及形成延伸穿过所述第二ILD层的栅极接触件、源极接触件和漏极接触件,其中,所述源极接触件的边缘与所述第二CESL的相应边缘之间的距离为约1nm至约10nm。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,附图中各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的各个方面示出的用于制造包括接触蚀刻停止层的场效应晶体管的方法的流程图。
图2A至图2J示出了根据本发明的各个方面的在各个制造阶段中的场效应晶体管的接触蚀刻停止层的示意截面图。
具体实施方式
应当理解,为了实现本发明的不同特征,以下公开内容提供了许多不同的实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例并且不旨在限制。例如,在下面的描述中,在第二部件上方或者上形成第一部件可以包括形成直接接触的第一部件和第二部件的实施例,并且也可以包括可以在第一部件和第二部件之间形成额外的部件,使得第一部件和第二部件可以不直接接触的实施例。为了简化和清楚,可以任意地以不同比例绘制各个部件。此外,本发明提供了基于“后栅极”金属栅极结构的实例,然而,本领域普通技术人员可以认识到对其他结构和/或使用其他材料的适用性。
图1是根据本发明的各个方面示出的用于制造包括接触蚀刻停止层224、234(在图2C至图2J中示出)的场效应晶体管200的方法100的流程图。图2A至图2J示出了根据本发明的各个方面的在各个制造阶段中的场效应晶体管200的接触蚀刻停止层224、234的示意截面图。如在本发明中采用的,术语场效应晶体管200指的是鳍式场效应晶体管(FinFET)200。FinFET200指的是任何基于鳍的、多栅极晶体管。在一些实施例中,术语场效应晶体管200指的是平面金属氧化物半导体场效应晶体管(MOSFET)。诸如栅极全包围(GAA)场效应晶体管或隧道场效应晶体管(TFET)的其他晶体管结构和类似结构均在本发明的预期范围内。场效应晶体管200可以包括在微处理器、存储器单元、和/或其他集成电路(IC)中。
可以使用CMOS技术处理进一步处理图1的场效应晶体管。因此,应当理解,可以在图1的方法100之前、期间和之后提供额外的工艺,并且在本文中可以仅简要描述一些其他工艺。同样,简化的图1至图2J用于更好地理解本发明的构思。例如,虽然图中示出了场效应晶体管200的接触蚀刻停止层224、234,但是应当理解,场效应晶体管200可以是IC的一部分,IC还包括诸如电阻器、电容器、电感器、熔断器等的多个其他器件。
参照图1和图2A,方法100开始于步骤102,其中提供了位于衬底202上方的包括侧壁220s和顶面220t的栅极结构220。在至少一个实施例中,衬底202可以包括硅衬底。在一些可选实施例中,衬底202可以由一些其他合适的元素半导体(诸如金刚石或锗);合适的化合物半导体(诸如砷化镓、碳化硅、砷化铟或磷化铟);或合适的合金半导体(诸如碳化硅锗,磷砷化镓,或磷化铟镓)制成。衬底202还可以包括诸如各个掺杂区、掩埋层、和/或外延层的其他部件。此外,衬底202可以是诸如绝缘体上硅(SOI)或蓝宝石上硅的绝缘体上半导体。在一些其他实施例中,衬底202可以包括掺杂的外延层、梯度半导体层、和/或还可以包括覆盖另一个不同类型的半导体层的半导体层,诸如位于硅锗层上的硅层。在其他实例中,化合物半导体衬底202可以包括多层硅结构或硅衬底可以包括多层化合物半导体结构。衬底202包括表面202s。
在一些实施例中,衬底202还可以包括有源区204和隔离区206。有源区204可以包括根据本领域内已知的设计需求的各种掺杂结构。在一些实施例中,有源区204可以掺杂有p型或n型掺杂剂。例如,有源区204可以掺杂有诸如硼或BF2的p型掺杂剂;诸如磷或砷的n型掺杂剂;和/或它们的组合。可以将有源区204配置用于N型金属氧化物半导体场效应晶体管(称为NMOSFET),或者可选地将有源区204配置用于P型金属氧化物半导体场效应晶体管(称为PMOSFET)。
在一些实施例中,可以在衬底202上形成隔离区206以隔离各个有源区204。隔离区206可以利用诸如硅的局部氧化(LOCOS)或浅沟槽隔离(STI)的隔离技术以限定并电隔离各个有源区204。在至少一个实施例中,该隔离区206包括STI。隔离区206可以包括氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、低k介电材料、其他合适的材料、和/或它们的组合。隔离区206以及本实施例中的STI均可以通过任何合适的工艺形成。作为一个实例,STI的形成可以包括通过传统光刻工艺图案化半导体衬底202,在衬底202中刻蚀沟槽(例如,通过使用干蚀刻、湿蚀刻和/或等离子体蚀刻工艺),以及使用介电材料填充该沟槽(例如,通过使用化学汽相沉积工艺)。在一些实施例中,填充的沟槽可以具有多层结构,诸如使用氮化硅或氧化硅填充的热氧化物衬层。
然后,在衬底202上方形成栅极介电层212。在一些实施例中,该栅极介电层212可以包括氧化硅、氮氧化硅或高k介电材料。将高k介电材料限定为介电常数大于SiO2的介电常数的介电材料。高k介电材料包括金属氧化物。在一些实施例中,金属氧化物选自由Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物或它们的混合物组成的组。栅极介电层212可以通过热氧化工艺、化学汽相沉积(CVD)工艺、原子层沉积(ALD)工艺来生长,并且可以具有小于2nm的厚度。
在一些实施例中,栅极介电层212还可以包括界面层(未示出)以最小化栅极介电层212和衬底202之间的应力。可以通过热氧化工艺生长氧化硅或氮氧化硅以形成界面层。例如,可以通过快速热氧化(RTO)工艺或在包括氧的退火工艺中生长界面层。
然后,可以在栅极介电层212上方形成伪栅电极层214。在一些实施例中,伪栅电极层214可以包括单层或多层结构。在本实施例中,伪栅电极层214可以包括多晶硅。此外,伪栅电极层214可以以均匀或梯度掺杂的方式掺杂多晶硅。伪栅电极层214可以具有任何合适的厚度。在本实施例中,伪栅电极层214的厚度介于约30nm至约60nm的范围内。在一些实施例中,可以使用低压化学汽相沉积(LPCVD)工艺形成伪栅电极层214。在至少一个实施例中,可以在LPCVD熔炉中,在约580℃至650℃的温度和约200mTorr至1Torr的压力下,将硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)或二氯硅烷(SiH2Cl2)用作硅源气体以实施LPCVD工艺。
然后,在一些实施例中,可以在伪栅电极层214上方形成硬掩模层(未示出)以保护伪栅电极层214。硬掩模层可以包括氮化硅。例如,可以通过CVD工艺、或LPCVD工艺沉积硬掩模层。硬掩模层可以具有约100?至400?的厚度。在沉积硬掩模层之后,使用光敏层(未示出)图案化硬掩模层。然后,使用反应离子蚀刻(RIE)或高密度等离子体(HDP)工艺穿过硬掩模层图案化栅极结构220,以露出衬底202的一部分,从而栅极结构220包括侧壁220s和顶面220t。
也如图2A所示,在一些实施例中,在形成栅极结构220之后,可以在有源区204中创建轻掺杂源极和漏极(LDD)区208。这是通过以介于5keV至100keV之间的能量、以介于约1E11atom/cm2至1E14atom/cm2的剂量的硼或磷的离子注入完成的。
参照图1和图2B,方法100继续进行步骤104,其中,形成邻近栅极结构220的侧壁220s的间隔件对222。间隔件对222可以由氧化硅、氮化硅、氮氧化硅、碳化硅、氟掺杂的硅酸盐玻璃(FSG)、低k介电材料,和/或它们的组合形成。间隔件对222可以具有多层结构,例如包括一个或多个衬层。衬层可以包括诸如氧化硅、氮化硅和/或其他合适的材料的介电材料。可以形成间隔件对222的方法包括:沉积合适的介电材料,以及各向异性地蚀刻该材料,从而形成间隔件对222。间隔件对222中的一个的宽度可以介于约6nm至35nm的范围内。
参照图1和图2C,方法100继续进行步骤106,其中,在衬底202中形成与栅极结构220的两侧横向间隔开(即,邻近衬底202的位于栅极结构下面的区域)的源极/漏极(S/D)区210。在一些实施例中,需要位于有源区204中的多个重掺杂S/D区210以用于低电阻接触件。这是通过以介于约5keV至150keV之间的能量、介于约1E15至1E16atom/cm2的剂量的硼或磷的离子注入实现的。
在一些实施例中,可以通过自对准硅化物(自对准多晶硅化物)工艺在S/D区210上可选择地形成硅化物区230。例如,硅化物工艺可以包括2个步骤。首先,可以在500℃至900℃之间的温度下,通过溅射将金属材料沉积至衬底表面202s,从而使得下面的硅和金属材料之间发生反应以形成硅化物区230。然后,可以蚀刻掉未反应的金属材料。硅化物区230可以包括选自硅化钛、硅化钴、硅化镍、硅化铂、硅化铒或硅化钯的材料。硅化物区230的厚度介于约30nm至50nm的范围内。在一些实施例中,如果衬底202包括Ge,则可以在S/D区210上通过自对准锗化物工艺可选择地形成锗化物区230。在一些实施例中,锗化物区230可以包括NiGe、PtGe、TiGe2、CoGe2或PdGe。
图1中的方法100继续进行步骤108,其中,通过在间隔件对222和栅极结构220的顶面220t上方沉积第一接触蚀刻停止层(CESL)224,以及沿着衬底202的表面202s延伸来产生图2C中的结构。第一CESL224可以包括但不限于氮化硅或碳掺杂的氮化硅。第一CESL224可以具有任何合适的厚度。在一些实施例中,第一CESL224的厚度t1介于约180埃至约220埃的范围内。
在一些实施例中,可以使用CVD、高密度等离子体(HDP)CVD、次大气压CVD(SACVD)、分子层沉积(MLD)、溅射、或其他合适的方法来沉积第一CESL224。例如,在一些实施例中,通常在压力小于10mTorr且温度介于约350℃至约500℃的范围内的条件下实施MLD工艺。在至少一个实施例中,通过使硅源化合物与氮源反应在间隔件对222和栅极结构220的顶面220t上沉积氮化硅。硅源化合物向沉积的氮化硅提供硅,并且硅源化合物可以为硅烷(SiH4)或正硅酸乙酯(TEOS)。氮源向沉积的氮化硅提供氮,并且氮源可以是氨(NH3)或氮气(N2)。在另一个实施例中,通过使碳源化合物、硅源化合物和氮源反应而在间隔件对222和栅极结构220的顶面220t上沉积碳掺杂的氮化硅。碳源化合物可以是有机化合物,诸如碳氢化合物(例如,乙烯(C2H6))。
图1中的方法100继续进行步骤110,其中,通过进一步在第一CESL224上方沉积第一层间介电(ILD)层226产生图2C中的结构。第一ILD层226可以包括介电材料。介电材料可以包括氧化硅、氮化硅、氮氧化硅、磷硅酸玻璃(PSG)、硼磷硅酸玻璃(BPSG)、旋涂玻璃(SOG)、氟化硅玻璃(FSG)、碳掺杂的硅氧化物(例如,SiCOH)、BLACK(加州圣克拉拉,应用材料)、干凝胶、气凝胶、氟化非晶碳、聚对二甲苯、BCB(双苯并环丁烯)、Flare、(密歇根米德兰市,陶氏化学公司)、聚酰亚胺和/或它们的组合。应当理解,第一ILD层226可以包括一种或多种介电材料和/或一个或多个介电层。在一些实施例中,可以通过CVD、高密度等离子体(HDP)CVD、次大气压CVD(SACVD)、旋涂、溅射或其他合适的方法在第一CESL224上方以合适的厚度沉积第一ILD层226。在本实施例中,第一ILD层226包括约的厚度。
图1中的方法100继续进行步骤112,其中,通过在第一ILD层226和第一CESL224上实施化学机械抛光(CMP)以露出栅极结构220的顶面220t来产生图2D中的结构。在后栅极工艺中,可以去除伪栅电极层214,从而使得可以形成代替伪栅电极层214的最终的金属栅电极层216。因此,使用CMP工艺平坦化第一ILD层226直到露出或到达伪栅电极层214的顶面220t。CMP工艺可以具有高选择性以为伪栅电极层214、间隔件对222、第一CESL224和第一ILD层226提供基本上平坦的表面。从而,第一ILD层226的顶面226t与栅极结构220的顶面220t共平面。CMP工艺也可以具有低凹陷和/或腐蚀效应。在一些可选实施例中,可以实施CMP工艺以露出硬掩模层,然后可以应用诸如湿蚀刻浸渍(wet etch dip)的蚀刻工艺以去除硬掩模层,从而露出伪栅电极层214的顶面220t。
在CMP工艺之后,实施栅极替换工艺。可以从由电介质(包括间隔件对222、第一CESL224和第一ILD层226)围绕的栅极结构220处去除伪栅电极层214。可以通过包括本文描述的工艺的任何合适的工艺去除伪栅电极层214以在栅极结构220中形成沟槽。在一些实施例中,可以使用湿蚀刻和/或干刻蚀工艺去除伪栅电极层214。在至少一个实施例中,用于伪多晶硅栅电极层214的湿蚀刻工艺包括暴露于含氢氧化物的溶液(例如,氢氧化铵)、去离子水和/或其他合适的蚀刻剂溶液中。
当去除伪栅电极层214之后,这导致沟槽(未示出)的形成。可以形成金属层以填充在该沟槽中。金属层可以包括适合于形成金属栅电极层216或其部分的任何金属材料,其部分包括阻挡层、功函数层、衬层、界面层、晶种层、粘合层、阻挡层等。在一些实施例中,金属层可以包括合适的金属,诸如在PMOSFET中适当实施的TiN、WN、TaN或Ru。在一些可选实施例中,金属层可以包括合适的金属,诸如在NMOSFET中适当实施的Ti、Ag、Al、TiAl、TiAlN、TiAlC、TiAlCN、TaC、TaCN、TaSiN、Mn、或Zr。对金属层实施另一个CMP以形成场效应晶体管200的金属栅电极层216。为了简明和清楚,将金属栅电极层216和栅极介电层212结合,并且在下文中也称为栅极结构220。
在一些实施例中,期望在接触蚀刻期间保护金属栅极结构220免受损坏。图1的方法100继续进行步骤114,其中,通过在第一CESL224、第一ILD层226和栅极结构220上方沉积第二接触蚀刻停止层(CESL)234来产生图2E中的结构。第二CESL234将在接触蚀刻期间保护栅极结构220。在一些实施例中,第二CESL234可以包括介电材料,诸如氮化硅或碳掺杂的氮化硅。在一些实施例中,第二CESL234可以包括导电材料,诸如Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC或TiAlCN。
第二CESL234可以具有任何合适的厚度。在本实施例中,第二CESL234的厚度t2介于约190埃至约250埃的范围内。在至少一个实施例中,第一CESL224的厚度t1小于第二CESL234的厚度t2。在一些实施例中,第二CESL234的厚度t2与第一CESL224的厚度t1的比率为从1.05至1.15。在一些其他实施例中,如果一些金属栅电极层216的损耗是可接受的,则第一CESL224的厚度t1可以大于第二CESL234的厚度t2以减小电容。
在一些实施例中,可以使用CVD、高密度等离子体(HDP)CVD、次大气压CVD(SACVD)、分子层沉积(MLD)、溅射、物理汽相沉积(PVD)、电镀或其他合适的方法来沉积第二接触蚀刻停止层234。例如,在至少一个实施例中,通常在压力小于10mTorr、温度介于约350℃至约500℃的范围内的条件下实施MLD工艺。在一些实施例中,通过使硅源化合物与氮源反应在ILD层226和栅极结构220的顶面220t上沉积氮化硅。硅源化合物向沉积的氮化硅提供硅,并且硅源化合物可以为硅烷(SiH4)或正硅酸乙酯(TEOS)。氮源向沉积的氮化硅提供氮,并且氮源可以是氨(NH3)或氮气(N2)。在一些其他实施例中,通过使碳源化合物、硅源化合物和氮源反应而在ILD层226和栅极结构220的顶面220t上沉积碳掺杂的氮化硅。碳源化合物可以是有机化合物,诸如碳氢化合物(例如,乙烯(C2H6))。
在本实施例中,第一CESL224和第二CESL234包括相同的材料。在一些可选实施例中,第一CESL224和第二CESL234包括不同的材料。例如,在一些实施例中,第一CESL224是氮化硅,第二CESL234是碳掺杂的氮化硅,反之亦然。在一些实施例中,第一CESL224是氮化硅,第二CESL是TiN。
然后,在第二接触蚀刻停止层234上形成图案化的光敏层250。例如,可以使用诸如旋涂、光刻工艺(包括曝光、烘烤和显影工艺)、蚀刻(包括灰化或剥离工艺)、和/或其他工艺的工艺来形成图案化的光敏层250。图案化的光敏层250对诸如KrF、ArF、EUV或电子束光的特定曝光光束敏感。在至少一个实例中,图案化的光敏层包括聚合物、猝灭剂、载色体、溶剂和/或化学放大剂(CA)。在本实施例中,图案化的光敏层250暴露第二CESL234的不在栅极结构220上方的部分以用于S/D区210中的接触形成。光敏层250的宽度W1大于或等于栅极结构220的宽度W2。
图1中的方法100继续进行步骤116,其中,通过图案化第二CESL234以去除第二CESL234的位于S/D区210的一部分上方的部分来产生图2F中的结构,从而使第二CESL234保留在栅极结构220的顶面220t的至少一部分的上方。在一些实施例中,使用干蚀刻工艺通过光敏层250来图案化第二CESL234,以暴露ILD层226的一部分,从而使第二CESL234的宽度W3大于或等于栅极结构220的宽度W2。干蚀刻工艺可以具有高选择性,从而使得干蚀刻工艺可以在ILD层226处停止。例如,可以在源功率为约150W至约220W且压力为约10mTorr至约45mTorr的条件下,使用CH2F2和Ar作为蚀刻气体来实施干蚀刻工艺。
在本实施例中,第二CESL234包括在栅极结构220的顶面220t上延伸的一部分。在一些实施例中,第二CESL234还包括在第一CESL224的顶面224t上延伸的一部分。在一些实施例中,第二CESL234还包括在ILD层226的顶面226t上延伸的一部分。
图1中的方法100继续进行步骤118,其中,通过在第一ILD层226和第二CESL234上方沉积第二层间介电(ILD)层236来产生图2G中的结构。在本实施例中,第二ILD层236可以包括介电材料。介电材料可以包括氧化硅、氮化硅、氮氧化硅、磷硅酸玻璃(PSG)、硼磷硅酸玻璃(BPSG)、旋涂玻璃(SOG)、氟化硅玻璃(FSG)、碳掺杂的硅氧化物(例如,SiCOH)、BLACK(加州圣克拉拉,应用材料)、干凝胶、气凝胶、氟化非晶碳、聚对二甲苯、BCB(双苯并环丁烯)、Flare、(密歇根米德兰市,陶氏化学公司)、聚酰亚胺和/或它们的组合。应当理解,第二ILD层236可以包括一种或多种介电材料和/或一个或多个介电层。在一些实施例中,可以通过CVD、HDPCVD、SACVD、旋涂、溅射或其他合适的方法在第一ILD层226和第二接触蚀刻停止层234上方以合适的厚度沉积第二ILD层236。在本实施例中,第二ILD层236包括约 的厚度。
参照图2H至图2J和图1中的步骤120,应用于场效应晶体管200的随后的CMOS工艺步骤可以包括:形成延伸穿过第一ILD层226、第二ILD层236、第一CESL224和第二CESL234的栅极接触件240g、源极接触件240s和漏极接触件240d以提供到栅极结构220和/或S/D区210的电接触。在一些实施例中,源极接触件240s的边缘240a与第二CESL234的第一相应边缘234a之间的第一距离S1为约1nm至约10nm。在一些实施例中,漏极接触件240d的边缘240b与第二CESL234的第二相应边缘234b之间的第二距离S2为约1nm至约10nm(在图2J中示出)。在一些实施例中,第一距离S1基本上等于第二距离S2。在一些实施例中,第一距离S1与第二距离S2不同。在一些实施例中,第一距离S1与第二距离S2的比率介于约0.5至约1.5之间。
参照图2H和图2I,可以通过任何合适的工艺形成接触孔238(包括238g、238s和238d)。作为一个实例,接触孔238的形成可以包括通过光刻工艺图案化第二ILD层236,蚀刻暴露的第二ILD层236(例如,通过使用干蚀刻、湿蚀刻和/或等离子体蚀刻工艺)以去除第二ILD层236位于S/D区210的一部分和栅极结构220的一部分上方的部分,从而暴露第一CESL224和第二CESL234的部分(在图2H中示出)。
在一些实施例中,期望防止由于接触件的未对准而引起的源极/漏极区210与金属栅极结构220的接触。因此,第二CESL234的边缘234a、234b可以不延伸远至金属栅极220。在一些实施例中,期望提供用于源极/漏极接触件形成的足够的空间。因此,第二CESL234的边缘234a、234b可以不延伸远至S/D区210。在一些实施例中,第二ILD层236的边缘236a与CESL234的第一相应边缘234a之间的第一距离(等于第一距离S1)为约1nm至约10nm。在一些实施例中,第二ILD层236的边缘236b与CESL234的第二相应边缘234b之间的第二距离(等于第二距离S2)为约1nm至约10nm。
参照图2I,去除第一CESL224和第二CESL234的暴露部分以露出栅极结构220和硅化物区230。在本实施例中,使用干蚀刻工艺同时去除第一CESL224和第二CESL234。干蚀刻工艺可以具有高选择性,从而使得干蚀刻工艺可以在栅极结构220和硅化物区230处停止。例如,可以在源功率为约150W至约220W且压力为约10mTorr至约45mTorr的条件下,使用CH2F2和Ar作为蚀刻气体来实施干蚀刻工艺。因此,由于在金属栅极结构220上方引入第二CESL234,因此在接触蚀刻期间可以降低金属栅极结构220的不期望的蚀刻。相应地,本发明公开的制造场效应晶体管200的CESL224、234的方法可以制造金属栅极结构220而不会产生由接触蚀刻引起的凹槽,从而提高了器件性能。
参照图2J,在形成接触孔238之后,通过在接触孔238中填充金属层242来产生图2J中的结构。在一些实施例中,金属层242包括TiN、TaN、Ta、Ti、Hf、Zr、Ni、W、Co、Cu或Al。在一些实施例中,可以通过CVD、PVD、电镀、ALD或其他合适的技术形成金属层242。在一些实施例中,金属层242可以包括层压件。层压件还可以包括阻挡金属层、线性金属层或湿金属层。此外,金属层242的厚度将取决于接触孔238的深度。因此,沉积金属层242直到其基本上填满或过填充接触孔238。
然后,在填充接触孔238之后,实施另一个CMP以平坦化金属层242。由于CMP去除了金属层242位于接触孔238外部的部分,当到达第二ILD层236时,可以停止CMP工艺,并且从而提供基本上平坦的表面。在一些实施例中,栅极接触件240g延伸穿过第二CESL234和第二ILD层236。在一些实施例中,源极接触件240s和漏极接触件240d延伸穿过第一CESL224、第一ILD层226和第二ILD层236。
然后,在一些实施例中,在形成场效应晶体管200之后,实施包括互连处理的后续工艺以完成IC制造。
根据一个实施例,一种场效应晶体管包括:衬底;设置在衬底中的源极区和漏极区;位于衬底上方的包括侧壁和顶面的栅极结构,其中,栅极结构介于源极区和漏极区之间;位于栅极结构的顶面的至少一部分上方的接触蚀刻停止层(CESL);位于CESL上方的层间介电层;延伸穿过层间介电层的栅极接触件;以及延伸穿过层间介电层的源极接触件和漏极接触件,其中,源极接触件的边缘与CESL的第一相应边缘之间的第一距离为约1nm至约10nm。
根据另一个实施例,一种场效应晶体管包括:包括表面的衬底;设置在衬底中的源极区和漏极区;位于衬底上方的包括侧壁和顶面的栅极结构,其中,栅极结构介于源极区和漏极区之间;邻近栅极结构的侧壁的间隔件对;位于间隔件对上方并且沿着衬底的表面延伸的第一接触蚀刻停止层(CESL);位于栅极结构的顶面的至少一部分上方的第二CESL;位于第一CESL和第二CESL上方的层间介电层;延伸穿过层间介电层的栅极接触件;以及延伸穿过层间介电层和第一CESL的源极接触件和漏极接触件,其中,源极接触件的边缘与第二CESL的相应边缘之间的距离为约1nm至约10nm。
根据另一个实施例,一种用于制造场效应晶体管的方法包括:在衬底上方提供包括侧壁和顶面的栅极结构;形成邻近栅极结构的侧壁的间隔件对;在栅极结构的两侧的衬底中形成源极/漏极(S/D)区;在间隔件对和栅极结构的顶面上方沉积第一接触蚀刻停止层(CESL);在第一CESL上方沉积第一层间介电(ILD)层;在第一ILD层和第一CESL上实施化学机械抛光以露出栅极结构;在第一CESL和栅极结构上方沉积第二CESL;图案化第二CESL以去除第二CESL的位于S/D区的一部分上方的部分;在第一ILD层和第二CESL上方沉积第二ILD层;以及形成延伸穿过第二ILD层的栅极接触件、源极接触件和漏极接触件,其中,源极接触件的边缘与第二CESL的相应边缘之间的距离为约1nm至约10nm。
虽然已经通过实例的方式和根据优选的实施例描述了本发明,但是应当理解,本发明不限于公开的实施例。相反地,本发明旨在包括各种修改和相似的布置(对本领域的技术人员来说显而易见)。因此,所附权利要求的范围应与最广泛的解释一致以包括所有这样的修改和相似的布置。

Claims (10)

1.一种场效应晶体管,包括:
衬底;
源极区和漏极区,设置在所述衬底中;
栅极结构,位于所述衬底上方,包括侧壁和顶面,其中,所述栅极结构介于所述源极区和所述漏极区之间;
接触蚀刻停止层(CESL),位于所述栅极结构的所述顶面的至少一部分上方;
层间介电层,位于所述CESL上方;
栅极接触件,延伸穿过所述层间介电层;以及
源极接触件和漏极接触件,延伸穿过所述层间介电层,其中,所述源极接触件的边缘与所述CESL的第一相应边缘之间的第一距离为约1nm至约10nm。
2.根据权利要求1所述的场效应晶体管,还包括所述漏极接触件的边缘与所述CESL的第二相应边缘之间的第二距离,其中,所述第二距离为约1nm至约10nm。
3.根据权利要求2所述的场效应晶体管,其中,所述第一距离基本上等于所述第二距离。
4.根据权利要求2所述的场效应晶体管,所述第一距离与所述第二距离不同。
5.根据权利要求2所述的场效应晶体管,其中,所述第一距离与所述第二距离的比率介于约0.5至约1.5之间。
6.根据权利要求1所述的场效应晶体管,其中,所述CESL包括选自由Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC、TiAlCN和它们的组合组成的组中的材料。
7.根据权利要求1所述的场效应晶体管,其中,所述CESL包括氮化硅或碳掺杂的氮化硅。
8.根据权利要求1所述的场效应晶体管,其中,所述栅极接触件还包括延伸穿过所述CESL的部分。
9.一种场效应晶体管,包括:
衬底,包括表面;
源极区和漏极区,设置在所述衬底中;
栅极结构,位于所述衬底上方,包括侧壁和顶面,其中,所述栅极结构介于所述源极区和所述漏极区之间;
间隔件对,邻近所述栅极结构的所述侧壁;
第一接触蚀刻停止层(CESL),位于所述间隔件对上方并且沿着所述衬底的所述表面延伸;
第二CESL,位于所述栅极结构的所述顶面的至少一部分上方;
层间介电层,位于所述第一CESL和所述第二CESL上方;
栅极接触件,延伸穿过所述层间介电层;以及
源极接触件和漏极接触件,延伸穿过所述层间介电层和所述第一CESL,其中,所述源极接触件的边缘与所述第二CESL的相应边缘之间的距离为约1nm至约10nm。
10.一种用于制造场效应晶体管的方法,包括:
在衬底上方提供包括侧壁和顶面的栅极结构;
形成邻近所述栅极结构的所述侧壁的间隔件对;
在所述栅极结构的两侧的所述衬底中形成源极/漏极(S/D)区;
在所述间隔件对和所述栅极结构的所述顶面上方沉积第一接触蚀刻停止层(CESL);
在所述第一CESL上方沉积第一层间介电(ILD)层;
在所述第一ILD层和所述第一CESL上实施化学机械抛光以露出所述栅极结构;
在所述第一CESL和所述栅极结构上方沉积第二CESL;
图案化所述第二CESL以去除所述第二CESL位于所述S/D区的一部分上方的部分;
在所述第一ILD层和所述第二CESL上方沉积第二ILD层;以及
形成延伸穿过所述第二ILD层的栅极接触件、源极接触件和漏极接触件,其中,所述源极接触件的边缘与所述第二CESL的相应边缘之间的距离为约1nm至约10nm。
CN201410181541.9A 2014-02-21 2014-04-30 场效应晶体管的接触蚀刻停止层 Pending CN104867975A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/186,883 US9312354B2 (en) 2014-02-21 2014-02-21 Contact etch stop layers of a field effect transistor
US14/186,883 2014-02-21

Publications (1)

Publication Number Publication Date
CN104867975A true CN104867975A (zh) 2015-08-26

Family

ID=53883023

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410181541.9A Pending CN104867975A (zh) 2014-02-21 2014-04-30 场效应晶体管的接触蚀刻停止层

Country Status (4)

Country Link
US (2) US9312354B2 (zh)
KR (1) KR101656136B1 (zh)
CN (1) CN104867975A (zh)
TW (1) TWI542017B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107068680A (zh) * 2016-02-01 2017-08-18 三星电子株式会社 集成电路器件
CN111129123A (zh) * 2018-10-30 2020-05-08 台湾积体电路制造股份有限公司 接触场板蚀刻的组合蚀刻停止层、集成芯片及其形成方法
CN111969060A (zh) * 2020-08-07 2020-11-20 长江存储科技有限责任公司 一种半导体器件及其制作方法
CN113745217A (zh) * 2020-08-14 2021-12-03 台湾积体电路制造股份有限公司 半导体结构及其制造方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312354B2 (en) * 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US20160013291A1 (en) * 2014-03-24 2016-01-14 Globalfoundries Inc. Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
KR102165263B1 (ko) * 2014-06-20 2020-10-13 삼성전자 주식회사 Mosfet 소자들의 레이아웃들 및 수직 구조들
US9728639B2 (en) * 2015-01-02 2017-08-08 Samsung Electronics Co., Ltd. Tunnel field effect transistors having low turn-on voltage
US9362383B1 (en) * 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9842843B2 (en) * 2015-12-03 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing static random access memory device
US9799741B2 (en) 2015-12-16 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method for manufacturing the same
US9761483B1 (en) * 2016-03-07 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
TWI695420B (zh) * 2016-04-22 2020-06-01 聯華電子股份有限公司 一種製作半導體元件的方法
CN107452680B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10256143B2 (en) * 2016-12-14 2019-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement contacts
US9905472B1 (en) * 2017-02-23 2018-02-27 Globalfoundries Inc. Silicon nitride CESL removal without gate cap height loss and resulting device
US11121030B2 (en) 2017-03-30 2021-09-14 Intel Corporation Transistors employing carbon-based etch stop layer for preserving source/drain material during contact trench etch
US10319832B2 (en) * 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10211302B2 (en) 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US10243079B2 (en) 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10879180B2 (en) * 2017-11-28 2020-12-29 Globalfoundries Inc. FinFET with etch-selective spacer and self-aligned contact capping layer
CN110364437A (zh) * 2018-04-11 2019-10-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
CN109244072B (zh) * 2018-09-03 2021-05-18 芯恩(青岛)集成电路有限公司 半导体器件结构及其制作方法
US11443949B2 (en) 2019-03-20 2022-09-13 Tokyo Electron Limited Method of selectively forming metal silicides for semiconductor devices
KR20210028801A (ko) 2019-09-04 2021-03-15 삼성전자주식회사 반도체 소자
KR20210073142A (ko) 2019-12-10 2021-06-18 삼성전자주식회사 반도체 장치
US11888064B2 (en) * 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11855153B2 (en) * 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230290883A1 (en) * 2022-03-10 2023-09-14 Applied Materials, Inc. Transistor devices with multi-layer interlayer dielectric structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020056879A1 (en) * 2000-11-16 2002-05-16 Karsten Wieczorek Field effect transistor with an improved gate contact and method of fabricating the same
CN102347361A (zh) * 2010-08-03 2012-02-08 台湾积体电路制造股份有限公司 场效应晶体管及其制造方法
CN103579340A (zh) * 2012-08-10 2014-02-12 台湾积体电路制造股份有限公司 场效应晶体管的栅电极

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR100632658B1 (ko) 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
JP5091397B2 (ja) 2005-10-27 2012-12-05 パナソニック株式会社 半導体装置
US7968952B2 (en) 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US7799678B2 (en) 2008-01-30 2010-09-21 Freescale Semiconductor, Inc. Method for forming a through silicon via layout
US7759262B2 (en) * 2008-06-30 2010-07-20 Intel Corporation Selective formation of dielectric etch stop layers
US7768074B2 (en) 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
US8329578B2 (en) 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8202776B2 (en) 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
US9312354B2 (en) * 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020056879A1 (en) * 2000-11-16 2002-05-16 Karsten Wieczorek Field effect transistor with an improved gate contact and method of fabricating the same
CN102347361A (zh) * 2010-08-03 2012-02-08 台湾积体电路制造股份有限公司 场效应晶体管及其制造方法
CN103579340A (zh) * 2012-08-10 2014-02-12 台湾积体电路制造股份有限公司 场效应晶体管的栅电极

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107068680A (zh) * 2016-02-01 2017-08-18 三星电子株式会社 集成电路器件
CN111129123A (zh) * 2018-10-30 2020-05-08 台湾积体电路制造股份有限公司 接触场板蚀刻的组合蚀刻停止层、集成芯片及其形成方法
CN111129123B (zh) * 2018-10-30 2023-12-19 台湾积体电路制造股份有限公司 接触场板蚀刻的组合蚀刻停止层、集成芯片及其形成方法
CN111969060A (zh) * 2020-08-07 2020-11-20 长江存储科技有限责任公司 一种半导体器件及其制作方法
CN111969060B (zh) * 2020-08-07 2024-04-16 长江存储科技有限责任公司 一种半导体器件及其制作方法
CN113745217A (zh) * 2020-08-14 2021-12-03 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11935941B2 (en) 2020-08-14 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing thereof

Also Published As

Publication number Publication date
US9312354B2 (en) 2016-04-12
KR101656136B1 (ko) 2016-09-08
TW201533911A (zh) 2015-09-01
US9685369B2 (en) 2017-06-20
TWI542017B (zh) 2016-07-11
US20150243751A1 (en) 2015-08-27
US20160204026A1 (en) 2016-07-14
KR20150099369A (ko) 2015-08-31

Similar Documents

Publication Publication Date Title
CN104867975A (zh) 场效应晶体管的接触蚀刻停止层
US10797156B2 (en) Method of forming the gate electrode of field effect transistor
US10043908B2 (en) Contact structure of semiconductor device
CN103050530B (zh) FinFET器件及其制造方法
US9412841B2 (en) Method of fabricating a transistor using contact etch stop layers
US8557659B2 (en) Spacer structures of a semiconductor device
US9287129B2 (en) Method of fabricating FinFETs
CN103137624B (zh) 高栅极密度器件和方法
KR101534946B1 (ko) 반도체 디바이스의 컨택 구조물
US9105692B2 (en) Method of fabricating an interconnection structure in a CMOS comprising a step of forming a dummy electrode
CN102637685B (zh) Cmos半导体器件的金属栅极结构
CN103811550A (zh) 半导体器件的接触结构
CN102903742A (zh) 场效应晶体管的金属栅电极
KR101464072B1 (ko) 계면층을 갖는 반도체 디바이스 및 그 제조 방법
CN112201623A (zh) FinFET中接触插塞的形成工艺
CN113937003A (zh) 一种高压mosfet器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20150826

RJ01 Rejection of invention patent application after publication