TWI542017B - 場效電晶體及其製作方法 - Google Patents
場效電晶體及其製作方法 Download PDFInfo
- Publication number
- TWI542017B TWI542017B TW103145541A TW103145541A TWI542017B TW I542017 B TWI542017 B TW I542017B TW 103145541 A TW103145541 A TW 103145541A TW 103145541 A TW103145541 A TW 103145541A TW I542017 B TWI542017 B TW I542017B
- Authority
- TW
- Taiwan
- Prior art keywords
- cesl
- gate structure
- substrate
- contact window
- layer
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title claims description 10
- 238000002353 field-effect transistor method Methods 0.000 title 1
- 239000010410 layer Substances 0.000 claims description 189
- 239000000758 substrate Substances 0.000 claims description 62
- 230000005669 field effect Effects 0.000 claims description 38
- 239000011229 interlayer Substances 0.000 claims description 25
- 125000006850 spacer group Chemical group 0.000 claims description 23
- 238000000151 deposition Methods 0.000 claims description 18
- 239000000126 substance Substances 0.000 claims description 9
- 238000000059 patterning Methods 0.000 claims description 6
- 238000005498 polishing Methods 0.000 claims description 3
- 238000000034 method Methods 0.000 description 77
- 229910052751 metal Inorganic materials 0.000 description 35
- 239000002184 metal Substances 0.000 description 35
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 19
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 18
- 239000000463 material Substances 0.000 description 16
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 238000005530 etching Methods 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 12
- 150000001875 compounds Chemical class 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 11
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 10
- 229910052732 germanium Inorganic materials 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 8
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 6
- 239000011521 glass Substances 0.000 description 6
- 229910052735 hafnium Inorganic materials 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- 239000007769 metal material Substances 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 229910000420 cerium oxide Inorganic materials 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 4
- 229910000449 hafnium oxide Inorganic materials 0.000 description 4
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 229910003468 tantalcarbide Inorganic materials 0.000 description 4
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 229910052684 Cerium Inorganic materials 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 239000010432 diamond Substances 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 150000004772 tellurides Chemical group 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- WJMXTYZCTXTFJM-UHFFFAOYSA-N 1,1,1,2-tetraethoxydecane Chemical compound C(C)OC(C(OCC)(OCC)OCC)CCCCCCCC WJMXTYZCTXTFJM-UHFFFAOYSA-N 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910010038 TiAl Inorganic materials 0.000 description 2
- 229910010041 TiAlC Inorganic materials 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- 239000004964 aerogel Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical group [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical compound C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000002052 molecular layer Substances 0.000 description 2
- 150000002894 organic compounds Chemical class 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229920000052 poly(p-xylylene) Polymers 0.000 description 2
- 150000003304 ruthenium compounds Chemical class 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- SITVSCPRJNYAGV-UHFFFAOYSA-L tellurite Chemical compound [O-][Te]([O-])=O SITVSCPRJNYAGV-UHFFFAOYSA-L 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- IXADHCVQNVXURI-UHFFFAOYSA-N 1,1-dichlorodecane Chemical compound CCCCCCCCCC(Cl)Cl IXADHCVQNVXURI-UHFFFAOYSA-N 0.000 description 1
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- NZIHMSYSZRFUQJ-UHFFFAOYSA-N 6-chloro-1h-benzimidazole-2-carboxylic acid Chemical compound C1=C(Cl)C=C2NC(C(=O)O)=NC2=C1 NZIHMSYSZRFUQJ-UHFFFAOYSA-N 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- 229910052692 Dysprosium Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910052693 Europium Inorganic materials 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910052689 Holmium Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910052765 Lutetium Inorganic materials 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- 229910006137 NiGe Inorganic materials 0.000 description 1
- 229910052777 Praseodymium Inorganic materials 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- 229910052775 Thulium Inorganic materials 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- MRPWWVMHWSDJEH-UHFFFAOYSA-N antimony telluride Chemical compound [SbH3+3].[SbH3+3].[TeH2-2].[TeH2-2].[TeH2-2] MRPWWVMHWSDJEH-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical compound [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 description 1
- OYLGJCQECKOTOL-UHFFFAOYSA-L barium fluoride Chemical compound [F-].[F-].[Ba+2] OYLGJCQECKOTOL-UHFFFAOYSA-L 0.000 description 1
- 229910001632 barium fluoride Inorganic materials 0.000 description 1
- 229910052790 beryllium Inorganic materials 0.000 description 1
- BRCWHGIUHLWZBK-UHFFFAOYSA-K bismuth;trifluoride Chemical compound F[Bi](F)F BRCWHGIUHLWZBK-UHFFFAOYSA-K 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 210000003298 dental enamel Anatomy 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- IAOQICOCWPKKMH-UHFFFAOYSA-N dithieno[3,2-a:3',2'-d]thiophene Chemical compound C1=CSC2=C1C(C=CS1)=C1S2 IAOQICOCWPKKMH-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 239000005383 fluoride glass Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 230000008595 infiltration Effects 0.000 description 1
- 238000001764 infiltration Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000005365 phosphate glass Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- CXXKWLMXEDWEJW-UHFFFAOYSA-N tellanylidenecobalt Chemical compound [Te]=[Co] CXXKWLMXEDWEJW-UHFFFAOYSA-N 0.000 description 1
- 150000003568 thioethers Chemical group 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/601—Insulated-gate field-effect transistors [IGFET] having lightly-doped drain or source extensions, e.g. LDD IGFETs or DDD IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/64—Double-diffused metal-oxide semiconductor [DMOS] FETs
- H10D30/66—Vertical DMOS [VDMOS] FETs
- H10D30/665—Vertical DMOS [VDMOS] FETs having edge termination structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/64—Double-diffused metal-oxide semiconductor [DMOS] FETs
- H10D30/66—Vertical DMOS [VDMOS] FETs
- H10D30/667—Vertical DMOS [VDMOS] FETs having substrates comprising insulating layers, e.g. SOI-VDMOS transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
- H01L21/26513—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0223—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate
- H10D30/0227—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate having both lightly-doped source and drain extensions and source and drain regions self-aligned to the sides of the gate, e.g. lightly-doped drain [LDD] MOSFET or double-diffused drain [DDD] MOSFET
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Description
此揭露內容是有關於積體電路的製造,且特別是有關於具有接觸窗蝕刻停止層的場效電晶體。
隨著技術節點(technology nodes)的微縮,在一些積體電路(IC)的設計中,需要以金屬閘極取代典型的多晶矽閘極,以改善具縮小之特徵尺寸的元件效能。有一種形成金屬閘極結構的製程被稱為「閘極後置」(gate last)製程,其中,最終閘極結構是「最後」製作的,這可以減少後續製程(包括在閘極形成後必須要進行的高溫處理)的數量。此外,隨著電晶體尺寸的下降,閘極氧化物的厚度也必須減少,以在閘極長度縮減的情況下維持效能。為了抑制閘極漏電流問題,亦使用高介電常數(high-k)的閘極介電層,其可容許較大的實體厚度,而仍保持如使用於較大技術節點中之較薄層閘極氧化物所提供的相同有效厚度。
然而,要實施前述特徵和製程於互補式金屬氧化物半導體(CMOS)的製造中,仍有許多挑戰存在。當閘
極長度和元件間距縮短時,這些問題益加嚴重。例如:源極/汲極區可能會因接觸窗的未對準而與金屬閘極產生短路。
根據一實施方式,一種場效電晶體包括:基材;配置在基材上的源極區和汲極區;位於基材上的閘極結構,包括側壁和頂表面,其中閘極結構夾置於源極區和汲極區之間;接觸窗蝕刻停止層(CESL),位於閘極結構的至少一部分頂表面上;層間介電層,位於CESL上;閘極接觸窗,延伸穿過層間介電層;源極接觸窗和汲極接觸窗,延伸穿過層間介電層,其中介於源極接觸窗的邊緣和CESL的第一對應邊緣的第一距離約1nm至約10nm。
根據另一實施方式,一種場效電晶體包括:基材,其具有表面;配置在基材中的源極區和汲極區;位於基材上的閘極結構,包括側壁和頂表面,其中閘極結構夾置於源極區和汲極區之間;一對間隙壁,鄰接閘極結構的側壁;第一接觸窗蝕刻停止層(CESL),位於此對間隙壁上並沿著基材的表面延伸;第二CESL,位於閘極結構的至少一部分頂表面上;層間介電層,位於第一CESL和第二CESL上;閘極接觸窗,延伸穿過層間介電層;以及源極接觸窗和汲極接觸窗,延伸穿過層間介電層和第一CESL,其中介於源極接觸窗的邊緣和第二CESL的對應邊緣間的距離約1nm到約10nm。
根據另一實施方式,一種場效電晶體的製作方
法包括:提供閘極結構於基材上,其包括側壁和頂表面;形成鄰接閘極結構的側壁的一對間隙壁;在基材中閘極結構的兩側形成源極/汲極(S/D)區;在此對間隙壁和閘極結構的頂表面上沈積第一接觸窗蝕刻停止層(CESL);在第一CESL上沈積第一層間介電層(ILD);對第一ILD層和第一CESL進行化學機械研磨以暴露出閘極結構;在第一CESL和閘極結構上沈積第二CESL;圖案化第二CESL以移除位於一部分S/D區上的部分第二CESL;在第一ILD層和第二CESL上沈積第二ILD層;以及形成閘極接觸窗、源極接觸窗和汲極接觸窗,其延伸穿過第二ILD層,其中介於源極接觸窗的邊緣和第二CESL的對應邊緣的距離約1nm到約10nm。
100‧‧‧方法
102、104、108、110、112、114、116、118、120‧‧‧步驟
200‧‧‧場效電晶體(FET)
202‧‧‧基材
202s‧‧‧表面
204‧‧‧主動區
206‧‧‧絕緣區
208‧‧‧輕摻雜源極和汲極(LDD)區域
210‧‧‧源極和汲極(S/D)區域
212‧‧‧閘極介電層
214‧‧‧假閘極層
216‧‧‧金屬閘極層
220‧‧‧閘極結構
220s‧‧‧側壁
220t、226t‧‧‧頂表面
222‧‧‧間隙壁
224‧‧‧第一接觸窗蝕刻停止層(CESL)
226‧‧‧第一層間介電層(ILD)
230‧‧‧矽化物區
234‧‧‧第二接觸窗蝕刻停止層(CESL)
234a、234b、240a、240b‧‧‧邊緣
238、238g、238s、238d‧‧‧接觸窗孔
240g‧‧‧閘極接觸窗
240s‧‧‧源極接觸窗
240d‧‧‧汲極接觸窗
242‧‧‧金屬層
250‧‧‧感光層
t1、t2‧‧‧厚度
W1、W2、W3‧‧‧寬度
S1、S2‧‧‧第一距離
透過以下實施方式和閱讀隨附圖式,將可對本揭露內容進行最佳理解。需強調的是,如同業界的標準作法,各個特徵未必按比例繪示,且該些特徵僅供示意之用。事實上,為了進行清楚的討論,圖式中各特徵的尺寸可能經過任意縮放。
圖1是根據本揭露的各種態樣來說明包括有接觸窗蝕刻停止層之場效電晶體的製作方法的流程圖。
圖2A至圖2J繪示根據本揭露的各種態樣在各種製作階段之場效電晶體的接觸窗蝕刻停止層的剖面示意圖。
應理解的是,以下揭露內容提供了許多不同的實施方式或實施例,使發明的各種特徵得以實現。下文描述了成份和安排方式的各種實例是為了簡化本揭露內容。這些內容當然僅是例示而已,其意不在構成限制。例如,在下文中敘述第一特徵形成在第二特徵上或上方,可能包括第一和第二特徵直接接觸的實施方式,也可能包括其他特徵形成於第一和第二特徵之間的實施方式,此時第一和第二特徵就可能沒有直接接觸。為了簡化和清楚起見,各個特徵可能被任意繪示成不同尺寸。此外,本揭露內容是以「閘極後置」金屬閘極結構來提供實例說明,但本技術領域中具有通常知識者可理解,本揭露內容對其他結構也同樣適用及/或亦可使用其他材料。
圖1是根據本揭露之各種態樣繪示的流程圖,其說明了用來製作包括有接觸窗蝕刻停止層224、234(如圖2C至圖2J所示)的場效電晶體200的方法100。圖2A-圖2J係根據本揭露之各種態樣繪示場效電晶體200的接觸窗蝕刻停止層224、234在各種製作階段的剖面示意圖。如本揭露所使用,場效電晶體200是指鰭式場效電晶體(FinFET)200。FinFET 200是指任何以鰭結構為基礎的多閘極電晶體。在一些實施方式中,場效電晶體200的用語是指平面式的金屬氧化物半導體場效電晶體(MOSFET)。其他電晶體結構和類似結構亦在本揭露的考慮範圍之內,例如:環繞式閘極場效電晶體(Gate-All-Around;GAA)或穿遂式
場效電晶體(TFET)。場效電晶體200可內含於微處理器、記憶體單元及/或積體電路(IC)之中。
可使用CMOS處理技術來進一步處理圖1的場效電晶體。因此,應理解的是,在圖1的方法100進行的前、中、後,都可施予額外的製程,而一些其他製程在此僅可能被簡要地描述。此外,為了更易於理解本揭露的概念,圖1至圖2J已被簡化。例如,雖然圖式繪示的是場效電晶體200的接觸窗蝕刻停止層224、234,但應理解的是,場效電晶體200可以是更包括有各種其他元件(如電阻器、電容器、電感器、保險絲等)的IC的一部分。
請參照圖1和圖2A,方法100始於步驟102,其提供了在基材202上方的閘極結構220,閘極結構220包括側壁220s和頂表面220t。在至少一實施方式中,基材202可包括矽基材。在一些其他的實施方式中,基材202可由其他適當的元素型半導體(例如鑽石或鍺)、適當的化合物型半導體(例如砷化鎵、碳化矽、砷化銦或磷化銦)或適當的合金型半導體(碳化矽鍺、磷化鎵砷或磷化鎵銦)所製成。基材202可更包括其他特徵,例如各種摻雜區、內埋區及/或磊晶層。此外,基材202可以是半導體覆絕緣體,例如絕緣層覆矽(SOI)或藍寶石覆矽。在一些其他的實施方式中,基材202可包括經摻雜的磊晶層、梯度化的半導體層及/或可更包括疊在不同類型的另一半導體層上的半導體層,例如矽層覆蓋在矽鍺層上。在其他例子中,化合物半導體基材202可包括多層矽結構,或者矽基材可包括多層的化合物半
導體結構。基材202包括有表面202s。
在一些實施方式中,基材202可更包括主動區204和絕緣區206。取決於設計上的需求,主動區204可包括本技術領域所知的各種摻雜狀態。在一些實施方式中,主動區可受p型或n型摻質摻雜。例如,主動區204可被例如是硼或BF2的p型所摻質、例如是磷或砷的n型摻質及/或其組合所摻雜。主動區204可被設計為用於N型金屬氧化物半導體場效電晶體(稱為NMOSFET),或者也可以被設計為用於P型金屬氧化物半導體場效電晶體(稱為PMOSFET)。
在一些實施方式中,絕緣區206可被形成在基材202上,以隔離各種主動區204。絕緣區206可利用例如矽局部氧化(LOCOS)或淺溝渠絕緣(STI)等絕緣技術來定義出並電性絕緣主動區204。在至少一實施方式中,絕緣區206包括一STI。絕緣區206可包含氧化矽、氮化矽、氮氧化矽、氟摻雜的矽酸鹽玻璃(FSG)、低介電常數材料、其他適當的材料及/或其組合。可透過任何適當的製程來形成絕緣區206(在本實施方式中為STI)。如一例子所述,STI的形成可包括:以習知的光學微影製程萊圖案化半導體基材202、在基材202中蝕刻出溝渠(例如:透過乾式蝕刻、濕式蝕刻及/或電漿蝕刻製程)、以及以介電材料填滿溝渠(例如使用化學氣相沈積製程)。在一些實施方式中,已填滿的溝渠可具有多層結構,例如:填充有氮化矽或氧化矽的熱氧化物襯墊層。
接著,閘極介電層212被形成在基材202上。在
一些實施方式中,閘極介電層212可包括氧化矽、氮氧化矽或高介電常數材料。高介電常數材料的定義是其介電常數大於SiO2之介電常數的介電材料。高介電常數材料包括金屬氧化物。在一些實施方式中,金屬氧化物是選自由以下金屬的氧化物所組成的族群:Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu或其混合物。可透過熱氧化法、化學氣相沈積法(CVD)、原子層沈積法(ALD)來生成閘極介電層212的,且閘極介電層212的厚度可小於2nm。
在一些實施方式中,閘極介電層212可更包括介面層(未繪示),以最小化閘極介電層212和基材202之間的應力。介面層可由透過熱氧化法生成的氧化矽或氮氧化矽所形成。例如:介面層可透過快速熱氧化法(RTO)或在含氧環境下進行的退火製程來生成。
接著,假閘極(dummy gate electrode)層214可被形成在閘極介電層212上。在一些實施方式中,假閘極層214可包括單層或多層結構。在本實施方式中,假閘極層214可包括多晶矽。再者,假閘極層214可以是具有均一或梯度化摻雜的摻雜多晶矽。假閘極層214可具有任意的適當厚度。在本實施方式中,假閘極層214的厚度在約30nm到約60nm之間。在一些實施方式中,可以使用低壓化學氣相沈積法(LPCVD)來形成假閘極層214。在至少一實施方式中,LPCVD製程可以在溫度為約580℃至650℃、壓力約為200mTorr到1Torr的LPCVD爐中進行,並使用矽
烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)或二氯矽烷(SiH2Cl2)做為矽源氣體。
接著,在一些實施方式中,硬罩幕層(未繪示)可被形成在假閘極層214上,以保護假閘極層214。硬罩幕層可包括氮化矽。可透過例如CVD製程或LPCVD製程來沈積硬罩幕層。硬罩幕層的厚度可為約100Å到400Å。在沈積硬罩幕層之後,使用感光層(未繪示)來圖案化硬罩幕層。接著,使用反應性離子蝕刻(RIE)或高密度電漿(HDP)製程,透過硬罩幕層來圖案化閘極結構220,並暴露出基材202的一部分,藉以使閘極結構220包括有側壁220s和頂表面220t。
如圖2A所示,在一些實施方式中,在閘極結構220形成之後,可在主動區204中產生輕摻雜源極和汲極(LDD)區域208。這是透過硼或磷的離子植入來完成,其能量約5到100KeV,劑量約介於1E11到1E14原子/cm2之間。
請參照圖1和圖2B,方法100繼續進行至步驟104,其形成了鄰接閘極結構220之側壁220s的一對間隙壁222。此對間隙壁222可由氧化矽、氮化矽、氮氧化矽、碳化矽、氟摻雜的矽酸鹽玻璃(FSG)、低介電常數材料及/或其組合所形成。此對間隙壁222可具有多層結構,例如包括一或多層襯墊層。襯墊層可包括像是氧化矽、氮化矽及/或其他適當材料的介電材料。此對間隙壁222的形成方法可以包括沈積適當的介電材料以及對所沈積的材料進行異向
性蝕刻以形成此對間隙壁222。此對間隙壁222中的一者的寬度可以在約6nm到35nm的範圍之內。
請參照圖1和2C,方法100的下一步是步驟106,其形成了位於基材202中且間隔開來配置在閘極結構220的兩側的源極/汲極(S/D)區域210(與閘極結構底下的基材202區域相鄰接)。在一些實施方式中,為使接觸的電阻夠低,需要在主動區中形成多個重摻雜的S/D區210。這是透過硼或磷的離子植入來完成,其能量約5到150KeV,劑量約介於1E15到1E16原子/cm2之間。
在一些實施方式中,可以選擇性地透過自對準矽化物(salicide)製程在S/D區210上形成矽化物區230。
例如,過自對準矽化物製程可包括兩個步驟。首先,可以透過濺鍍把金屬材料沈積在基材表面202s上,其製程溫度介於500℃到900℃之間,使金屬材料和下方的矽產生反應而形成矽化物區230。接著,未反應的金屬材料可以藉由蝕刻移去。矽化物區230可包括選自矽化鈦、矽化鈷、矽化鎳、矽化鉑、矽化鉺或矽化鈀的材料。矽化物區230的厚度在約30到50nm的範圍內。在一些實施方式中,如果基材202含有Ge,可以選擇性地透過自對準鍺化物製程在S/D區210上形成鍺化物區230。在一些實施方式中,鍺化物區230可包括NiGe、PtGe、TiGe2、CoGe2或PdGe。
在圖1中,方法100的下一步是步驟108,其透過沈積一層位在此對間隙壁222和閘極結構220的頂表面220t上,且沿著基材202的表面202s延伸的第一接觸窗蝕刻
停止層(Contact Etch Stop Layer;CESL)224來製造圖2C的結構。第一CESL 224可包括(但不限於)氮化矽或碳摻雜的氮化矽。第一CESL 224可具有任意的適當厚度。在一些實施方式中,第一CESL 224的厚度在約180埃到約220埃的範圍之間。
在一些實施方式中,第一CESL 224可以使用CVD、高密度電漿化學氣相沈積(HDPCVD)、亞常壓化學氣相沈積(SACVD)、分子層沈積(MLD)、濺鍍或任何適當方法來沈積。例如,在一些實施方式中,MLD製程通常在低於10mTorr的壓力下進行,且其溫度範圍從約350℃到約500℃。在至少一實施方式中,透過使矽源化合物和氮源反應,讓氮化矽沈積在此對間隙壁222和閘極結構220的頂表面220t上。矽源化合物提供了沈積氮化矽所需的矽,其可以是矽烷(SiH4)或四乙氧基矽烷(TEOS)。氮源提供了沈積氮化矽所需的氮,其可以是氨(NH3)或氮氣(N2)。在其他實施方式中,透過使碳源化合物、矽源化合物和氮源反應,在此對間隙壁222和閘極結構220的頂表面220t上沈積碳摻雜的氮化矽。碳源化合物可以是有機化合物,如烴類化合物,例如乙烷(C2H6)。
在圖1中,方法100的下一步是步驟110,其進一步在第一CESL 224上沈積第一層間介電層(ILD)226以製作圖2C的結構。第一ILD層226可包括介電材料。所述介電材料可包括氧化矽、氮化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、旋塗玻璃(SOG)、
氟化矽玻璃(FSG)、碳摻雜的氧化矽(例如SiCOH)、BLACK DIAMOND®(應用材料公司,加州聖塔克拉拉;Applied Materials,Santa Clara,California)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳、聚對二甲苯(Parylene)、BCB(bis-benzocyclobutenes,聯苯並環丁烯)、Flare(聚芳香烴醚膜)、SILK®(道氏化學公司,密西根州密得蘭;Dow Chemical,Midland,Michigan)、聚醯亞胺及/或其組合。應該理解的是,第一ILD層226可以包括一種或多種介電材料及/或一層或多層介電層。在一些實施方式中,可以透過CVD、HDPCVD、SACVD、旋塗、濺鍍或其他適當方法在第一CESL 224上沈積第一ILD層226。在本實施方式中,第一ILD層226的厚度約3000到4500Å。
在圖1中,方法100的下一步是步驟112,其透過對第一ILD層226和第一CESL 224進行化學機械研磨(CMP)以暴露出閘極結構220的頂表面220t來製作圖2D的結構。在閘極後置製程中,可以移去假閘極層214,以便金屬閘極層216得以形成在假閘極層214的空間。因此,使用CMP製程將第一ILD層226平面化,直到到達或暴露出假閘極層214的頂表面220t。CMP製程可具有高選擇性,使得假閘極層214、此對間隙壁222、第一CESL 224和第一ILD層226的表面實質上為平面。因此,第一ILD層226的頂表面226t和閘極結構220的頂表面220t共平面。CMP製程也可具有低凹陷(dishing)及/或腐蝕效應。在一些其他
的實施方式中,可執行CMP製程以暴露硬罩幕層,接著利用蝕刻製程(例如濕式蝕刻浸潤)以移除硬罩幕層,從而暴露出假閘極層214的頂表面220t。
在CMP製程以後,執行閘極置換製程。假閘極層214可從被介電質環繞的閘極結構220中移除,所述介電質包括此對間隙壁222、第一CESL 224以及第一ILD層226。可以透過任何本文描述的適當製程來移除假閘極層214以在閘極結構220中形成溝渠。在一些實施方式中,可以使用濕式蝕刻及/或乾式蝕刻製程來移除假閘極層214。在至少一實施方式中,針對假性多晶矽閘極層214的濕式蝕刻製程包括將目標暴露於含氫氧化物(例如氫氧化銨)、去離子水及/或其他適當蝕刻劑的溶液中。
當假閘極層214被移除時,此造成了溝渠(未繪示)的形成。可形成金屬層以填充溝渠。此金屬層可包括任何適於形成金屬閘極層216或其部份(包括阻障、功函數層、襯墊層、介面層、種晶層、黏著層、阻障層等)的金屬材料。在一些實施方式中,所述金屬材料可包括在PMOSFET中運作得宜的適當金屬,如TiN、WN、TaN、或Ru。在一些其他實施方式中,所述金屬層可包括在NMOSFET中運作得宜的適當金屬,如Ti、Ag、Al、TiAl、TiAlN、TiAlC、TiAlCN、TaC、TaCN、TaSiN、Mn或Zr。對此金屬層進行另一道CMP製程,以形成場效電晶體200的金屬閘極層216。為了簡單和清楚說明起見,下文將金屬閘極層216和閘極介電層212結合且也將其稱為閘極結
構220。因此,如圖2A與圖2D所示,此閘極結構220形成於基材202之表面202s上。
在一些實施方式中,需要保護金屬閘極結構220在接觸窗蝕刻期間不受破壞。在圖1中,方法100的下一步是步驟114,其透過在第一CESL 224、第一ILD層226和閘極結構220上沈積第二接觸窗蝕刻停止層(CESL)234來製作圖2E的結構。第二CESL 234會在接觸窗蝕刻期間保護閘極結構220。在一些實施方式中,第二CESL 234可包括介電材料,如氮化矽或碳摻雜的氮化矽。在一些實施方式中,第二CESL 234可包括導電材料,如Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC或TiAlCN。
第二CESL 234可以具有任意的適當厚度。在本實施方式中,第二CESL 234具有在約190埃到約250埃範圍內的厚度t2。在至少一實施方式中,第一CESL 224的厚度t1小於第二CESL 234的厚度t2。在一些實施方式中,第二CESL 234的厚度t2對第一CESL 224的厚度t1的比值介於1.05到1.15。在一些其他的實施方式中,如果可以接受損失一些金屬閘極層216,那麼第一CESL 224的厚度t1可以大於第二CESL 234的厚度t2,藉此降低電容值。
在一些實施方式中,第二接觸窗蝕刻停止層234可以使用CVD、HDPCVD、SACVD、分子層沈積(MLD)、濺鍍、物理氣相沈積(PVD)、電鍍或其他適當方法來沈積。例如,在至少一實施方式中,通常在低於10mTorr的壓力下以及在約350℃到約550℃的溫度範圍
內進行MLD製程。在一些實施方式中,透過使矽源化合物和氮源進行反應,在ILD層226和閘極結構220的頂表面220t上沈積氮化矽。矽源化合物提供沈積氮化矽所需的矽,其可以是矽烷(SiH4)或四乙氧基矽烷(TEOS)。氮源提供沈積氮化矽所需的氮,其可以是氨(NH3)或氮氣(N2)。在一些其他的實施方式中,透過使碳源化合物、矽源化合物和氮源進行反應,在ILD層226和閘極結構220的頂表面220t上沈積碳摻雜的氮化矽。碳源化合物可以是有機化合物,如烴類化合物,例如乙烷(C2H6)。
在本實施方式中,第一和第二CESL 224、234包括相同的材料。在一些其他的實施方式中,第一和第二CESL 224、234包括不同的材料。例如,在一些實施方式中,第一CESL 224是氮化矽,第二CESL 234是碳摻雜的氮化矽,或者兩者相反。在一些實施方式中,第一CESL 224是氮化矽,第二CESL是TiN。
接著,圖案化感光層250形成在第二接觸窗蝕刻停止層234上。舉例而言,圖案化感光層250可以使用如旋塗、光學微影製程(包括曝光、烘烤以及顯影製程)、蝕刻(包括灰化和剝除製程)及/或其他製程來形成。圖案化感光層250對特定的曝光光束敏感,如KrF、ArF、EUV或電子束。在至少一種實例當中,圖案化感光層包括高分子、猝滅劑(quencher)、發色團(chromophore)溶劑及/或化學放大劑(chemical amplifier,CA)。在本實施方式中,圖案化感光層250暴露出第二CESL 234未覆蓋閘極結構220的那一部分,以在S/D區210製作接觸窗。圖案化感光層250的寬度W1大於或等於閘極結構220的寬度W2。
在圖1中,方法100的下一步是步驟116,其透
過圖案化第二CESL 234以移除第二CESL 234位於S/D區210上方的部份來製作圖2F的結構,此時第二CESL 234仍維持於閘極結構220的至少一部分頂表面220t的上方。在一些實施方式中,第二CESL 234的圖案化是在感光層250的存在下藉由乾式蝕刻製程來進行,將會暴露出一部分的ILD層226,使得第二CESL 234的寬度W3大於或等於閘極結構220的寬度W2。乾式蝕刻製程可具有高選擇性,藉此,乾式蝕刻製程可停止於ILD層226。例如,乾式蝕刻製程可在電源功率約150W到約220W,壓力約10mTorr到約45mTorr下的條件進行,並使用CH2F2和Ar作為蝕刻氣體。
在本實施方式中,第二CESL 234包括在閘極結構220的頂表面220t上延伸的一部分。第二CESL 234在一些實施方式中更包括在第一CESL 224的頂表面224t上延伸的一部分。第二CESL 234在一些實施方式中更包括在ILD層226的頂表面226t上延伸的一部分,因此如圖2F所示,第二CESL 234經圖案化後,ILD層226與第二CESL 234之至少一部分接觸。在一些例子中,如圖2A與圖2F所示,第二CESL 234係沿著平行基材202之表面202s的一方向橫向延伸。
在圖1中,方法100的下一步是步驟118,其透過在第一ILD層226和第二CESL 234上沈積第二層間介電層(ILD)236來製作圖2G的結構。在本實施方式中,第二ILD層236可包括介電材料。介電材料可包括氧化矽、氮化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、旋塗玻璃(SOG)、氟化矽玻璃(FSG)、碳摻雜的氧化矽(例如SiCOH)、BLACK DIAMOND®(應
用材料公司,加州聖塔克拉拉;Applied Materials,Santa Clara,California)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳、聚對二甲苯(Parylene)、BCB(bis-benzocyclobutenes,聯苯並環丁烯)、Flare(聚芳香烴醚膜)、SILK®(道氏化學公司,密西根州密得蘭;Dow Chemical,Midland,Michigan)、聚醯亞胺及/或其組合。應該理解的是,第二ILD層236可以包括一種或多種介電材料及/或一層或多層介電層。在一些實施方式中,可以透過CVD、HDP CVD、SACVD、旋塗、濺鍍或其他適當方法在第一ILD層226和第二接觸窗蝕刻停止層234上沈積第二ILD層236至適當厚度。在本實施方式中,第二ILD層236的厚度約3000到4500Å。
請參照圖2H到2J和圖1的步驟120,針對場效電晶體200的後續CMOS製程步驟可包括形成閘極接觸窗240g、源極接觸窗240s和汲極接觸窗240d,這些接觸窗延伸穿過第一ILD層226、第二ILD層236、第一CESL 224以及第二CESL 234,為閘極結構220及/或S/D區210提供電性接觸。在一些實施方式中,介於源極接觸窗240s的邊緣240b以及第二CESL 234的第一對應邊緣234a之間的第一距離S1是約1nm到約10nm,即第二CESL 234之接近源極接觸窗240s的第一對應邊緣234a與源極接觸窗240s在沿著平行於基材202之表面202s之一方向上相隔第一距離S1。在一些實施方式中,介於汲極接觸窗240d的邊緣240b以及第二CESL 234的第二對應邊緣234b之間的第二距離S2是約1nm到約10nm(呈現於圖2J之中),即第二CESL 234之接近汲極接觸窗240d的第二對應邊緣234b與汲極接
觸窗240d在沿著平行於基材202之表面202s之一方向上相隔第二距離S2。在一些實施方式中,第一距離S1實質上等於第二距離S2。在一些實施方式中,第一距離S1異於第二距離S2。在一些實施方式中,第一距離S1對第二距離S2的比值介於約0.5到約1.5。
請參照圖2H和2I,接觸窗孔238(其包括238g、238s和238d)可以透過任何適當的製程來形成。作為其中一例,接觸窗孔238的形成可以包括以光學微影製程圖案化第二ILD層236、蝕刻暴露出來的第二ILD層236(例如使用乾式蝕刻、濕式蝕刻及/或電漿蝕刻製程)以移除第二ILD層236位於S/D區210上和閘極結構220上的部份,暴露出第一CESL 224和第二CESL 234的一部分(呈現於圖2H)。
在一些實施方式中,需要避免源極/汲極區210因接觸窗未對準而電性接觸到金屬閘極結構220。因此,第二CESL 234的邊緣234a和邊緣234b不能只延伸至金屬閘極220的邊緣。在一些實施方式中,有需要為源極/汲極接觸窗的形成提供充分的空間。因此,第二CESL 234的邊緣234a、234b不能延伸到蓋住S/D區210。在一些實施方式中,介於第二ILD層236的邊緣236a和CESL 234的第一對應邊緣234a間的第一距離(相當於第一距離S1)約1nm到約10nm。在一些實施方式中,介於第二ILD層236的邊緣236b和CESL 234的第二對應邊緣234b間的第二距離(相當於第二距離S2)約1nm到約10nm。
參照圖2I,移除第一CESL 224和第二CESL 234暴露出來的部份,以露出閘極結構220和矽化物區
230。在本實施方式中,使用乾式蝕刻製程同時移除第一CESL 224和第二CESL 234。乾式蝕刻製程可具有高選擇性,使得乾式蝕刻製程可中止於閘極結構220和矽化物區230。例如:乾式蝕刻製程可在電源功率為約150到約220W,壓力約10到為約45mTorr下的條件進行,並使用CH2F2和Ar為蝕刻氣體。因此,由於在金屬閘極結構220上導入第二CESL 234,在接觸窗蝕刻過程期間,可減少對金屬閘極結構220的不必要蝕刻。因此,此處所揭露的製作場效電晶體200之CESL 224、234的方法可以製作出金屬閘極結構220,而不會有由接觸窗蝕刻所造成的凹陷,從而提昇元件效能。
請參照圖2J,在接觸窗孔238形成之後,透過在接觸窗孔238中填充金屬層242來製作圖2J的結構。在一些實施方式中,金屬層242包括TiN、TaN、Ta、Ti、Hf、Zr、Ni、W、Co、Cu或Al。在一些實施方式中,金屬層242可以透過CVD、PVD、電鍍、ALD或其他適當技術形成。在一些實施方式中,金屬層242可包括疊層結構(laminate)。所述疊層結構可更包括阻障金屬層、襯墊金屬層或潤濕金屬層(wetting metal layer)。再者,金屬層242的厚度取決於接觸窗孔238的深度。因此,沈積金屬層242直到接觸窗孔238實質被填滿或過量填充為止。
接著,在填充接觸窗孔238後,進行另一道CMP製程以平面化金屬層242。因為CMP要移除金屬層242位於接觸窗孔238以外的部份,CMP製程可以在達到第二ILD層
236以後結束,從而提供實質上平面化的表面。在一些實施方式中,閘極接觸窗240g延伸穿過第二CESL 234和第二ILD層236。在一些實施方式中,源極接觸窗240s和汲極接觸窗240d延伸穿過第一CESL 224、第一ILD層226和第二ILD層236。
接著,在一些實施方式中,在場效電晶體200形成以後,進行後續製程(包括內連線製程)以完成IC的製作。
根據一實施方式,一種場效電晶體包括:基材;配置在基材上的源極區和汲極區;位於基材上的閘極結構,包括側壁和頂表面,其中閘極結構夾置於源極區和汲極區之間;接觸窗蝕刻停止層(CESL),位於閘極結構的至少一部分頂表面上;層間介電層,位於CESL上;閘極接觸窗,延伸穿過層間介電層;源極接觸窗和汲極接觸窗,延伸穿過層間介電層,其中介於源極接觸窗的邊緣和CESL的第一對應邊緣的第一距離約1nm至約10nm。
根據另一實施方式,一種場效電晶體包括:基材,其具有表面;配置在基材中的源極區和汲極區;位於基材上的閘極結構,包括側壁和頂表面,其中閘極結構夾置於源極區和汲極區之間;一對間隙壁,鄰接閘極結構的側壁;第一接觸窗蝕刻停止層(CESL),位於此對間隙壁上並沿著基材的表面延伸;第二CESL,位於閘極結構的至少一部分頂表面上;層間介電層,位於第一CESL和第二CESL上;閘極接觸窗,延伸穿過層間介電層;以及源極接觸窗和汲極
接觸窗,延伸穿過層間介電層和第一CESL,其中介於源極接觸窗的邊緣和第二CESL的對應邊緣間的距離約1nm到約10nm。
根據另一實施方式,一種場效電晶體的製作方法包括:提供閘極結構於基材上,其包括側壁和頂表面;形成鄰接閘極結構的側壁的一對間隙壁;在基材中閘極結構的兩側形成源極/汲極(S/D)區;在此對間隙壁和閘極結構的頂表面上沈積第一接觸窗蝕刻停止層(CESL);在第一CESL上沈積第一層間介電層(ILD);對第一ILD層和第一CESL進行化學機械研磨以暴露出閘極結構;在第一CESL和閘極結構上沈積第二CESL;圖案化第二CESL以移除位於一部分S/D區上的部分第二CESL;在第一ILD層和第二CESL上沈積第二ILD層;以及形成閘極接觸窗、源極接觸窗和汲極接觸窗,其延伸穿過第二ILD層,其中介於源極接觸窗的邊緣和第二CESL的對應邊緣的距離約1nm到約10nm。
雖然已舉實例並在較佳實施方式的脈絡下描述本發明,應理解的是,本發明並不限於本文揭露的實施方式。相反地,本發明應涵蓋對本技術領域中具有通常知識者而言顯而易見的各種修改和相似安排。因此,隨附請求項的範圍應依其最廣的解釋視之,以涵蓋所有該等修改和相似安排。
100‧‧‧方法
102、104、106、108、110、112、114、116、118、120‧‧‧步驟
Claims (10)
- 一種場效電晶體,包括:一基材,具有一表面;一源極區和一汲極區,配置在該基材中;一閘極結構,位於該基材上,該閘極結構包括有複數個側壁和一頂表面,其中該閘極結構係夾置於該源極區和該汲極區之間;一接觸窗蝕刻停止層(Contact Etch Stop Layer;CESL),位於該閘極結構的該頂表面的至少一部分上,且該CESL沿著平行於該基材之該表面之一方向橫向延伸;一第一層間介電層,位於該CESL下,該第一層間介電層與該CESL之至少一部分接觸;一第二層間介電層,位於該CESL上;一閘極接觸窗,延伸穿過該第二層間介電層與該CESL;以及一源極接觸窗和一汲極接觸窗,其延伸穿過該第一層間介電層與該第二層間介電層,其中該CESL之接近該源極接觸窗之一第一邊緣與該源極接觸窗在沿著平行於該基材之該表面之一方向上相隔一第一距離,且該CESL之接近該汲極接觸窗之一第二邊緣與該汲極接觸窗在沿著平行於該基材之該表面之一方向上相隔一第二距離。
- 如申請專利範圍第1項所述的場效電晶體,其中該第一距離為1nm到10nm,且該第二距離為1nm到10nm。
- 如申請專利範圍第2項所述的場效電晶體,其中該第一距離對該第二距離的一比值係介於0.5和1.5之間。
- 如申請專利範圍第1項所述的場效電晶體,其中該第一距離異於該第二距離。
- 如申請專利範圍第4項所述的場效電晶體,其中該CESL的寬度大於或等於該閘極結構的寬度。
- 一種場效電晶體,包括:一基材,具有一表面;一源極區和一汲極區,配置在該基材中;一閘極結構,位於該基材上,該閘極結構包括有複數個側壁和一頂表面,其中該閘極結構係夾置於該源極區和該汲極區之間;一對間隙壁,鄰近於該閘極結構的該些側壁;一第一接觸窗蝕刻停止層(CESL),位於該對間隙壁上且沿著該基材的該表面延伸;一第二CESL,位於該閘極結構的該頂表面的至少一部分上,該第二CESL沿著平行於該基材之該表面之一方向橫向延伸;一層間介電層,位於該第一CESL和該第二CESL上;一閘極接觸窗,延伸穿過該層間介電層與該第二CESL:以及一源極接觸窗和一汲極接觸窗,延伸穿過該層間介電層和該第一CESL,其中該第二CESL接近該源極接觸窗的一邊緣與該源極接觸窗在沿著平行於該基材之該表面之 一方向上相隔一距離。
- 如申請專利範圍第6項所述的場效電晶體,其中該第二CESL更包括在該第一CESL的一頂表面上延伸的一部分。
- 如申請專利範圍第6項所述的場效電晶體,其中該第二CESL之一寬度大於或等於該閘極結構之一寬度。
- 如申請專利範圍第6項所述的場效電晶體,其中該第二CESL的厚度對該第一CESL的厚度的一比值是從1.05到1.15。
- 一種場效電晶體的製作方法,包括:提供一閘極結構於一基材之一表面上,該閘極結構包括側壁和頂表面;形成鄰近於該閘極結構之該些側壁的一對間隙壁;形成源極/汲極(S/D)區於該閘極結構之側面上的該基材中;沈積一第一接觸窗蝕刻停止層(CESL)於該對間隙壁和該閘極結構的該頂表面上;沈積一第一層間介電層(ILD)於該第一CESL上;對該第一ILD層和該第一CESL進行一化學機械研磨,以暴露出該閘極結構;沈積一第二CESL於該第一CESL、該閘極結構和該第一ILD層上;圖案化該第二CESL,以移除位於部份該S/D區上的該第二CESL的一部分,其中圖案化該第二CESL後,該 第一ILD層與該第二CESL之至少一部分接觸,且該第二CESL沿著平行於該基材之該表面之一方向橫向延伸;沈積一第二ILD層於該第一ILD層和該第二CESL上;以及形成延伸穿過該第二ILD層之一閘極接觸窗、以及穿過該第二ILD層與該第一ILD層之一源極接觸窗和一汲極接觸窗,其中該第二CESL之接近該源極接觸窗之一第一邊緣與該源極接觸窗在沿著平行於該基材之該表面之一方向上相隔一第一距離,且該第二CESL之接近該汲極接觸窗之一第二邊緣與該汲極接觸窗在沿著平行於該基材之該表面之一方向上相隔一第二距離。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/186,883 US9312354B2 (en) | 2014-02-21 | 2014-02-21 | Contact etch stop layers of a field effect transistor |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201533911A TW201533911A (zh) | 2015-09-01 |
TWI542017B true TWI542017B (zh) | 2016-07-11 |
Family
ID=53883023
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103145541A TWI542017B (zh) | 2014-02-21 | 2014-12-25 | 場效電晶體及其製作方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US9312354B2 (zh) |
KR (1) | KR101656136B1 (zh) |
CN (1) | CN104867975A (zh) |
TW (1) | TWI542017B (zh) |
Families Citing this family (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9312354B2 (en) * | 2014-02-21 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact etch stop layers of a field effect transistor |
US20160013291A1 (en) * | 2014-03-24 | 2016-01-14 | Globalfoundries Inc. | Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device |
KR102165263B1 (ko) * | 2014-06-20 | 2020-10-13 | 삼성전자 주식회사 | Mosfet 소자들의 레이아웃들 및 수직 구조들 |
US9728639B2 (en) * | 2015-01-02 | 2017-08-08 | Samsung Electronics Co., Ltd. | Tunnel field effect transistors having low turn-on voltage |
US9362383B1 (en) * | 2015-09-17 | 2016-06-07 | International Business Machines Corporation | Highly scaled tunnel FET with tight pitch and method to fabricate same |
CN106684041B (zh) * | 2015-11-10 | 2020-12-08 | 联华电子股份有限公司 | 半导体元件及其制作方法 |
US9842843B2 (en) * | 2015-12-03 | 2017-12-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing static random access memory device |
US9799741B2 (en) | 2015-12-16 | 2017-10-24 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method for manufacturing the same |
KR102458923B1 (ko) * | 2016-02-01 | 2022-10-25 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9761483B1 (en) * | 2016-03-07 | 2017-09-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices, FinFET devices and methods of forming the same |
TWI695420B (zh) * | 2016-04-22 | 2020-06-01 | 聯華電子股份有限公司 | 一種製作半導體元件的方法 |
CN107452680B (zh) * | 2016-06-01 | 2020-05-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
US10256143B2 (en) * | 2016-12-14 | 2019-04-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Replacement contacts |
US9905472B1 (en) * | 2017-02-23 | 2018-02-27 | Globalfoundries Inc. | Silicon nitride CESL removal without gate cap height loss and resulting device |
US11121030B2 (en) | 2017-03-30 | 2021-09-14 | Intel Corporation | Transistors employing carbon-based etch stop layer for preserving source/drain material during contact trench etch |
US10319832B2 (en) * | 2017-04-28 | 2019-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US10211302B2 (en) | 2017-06-28 | 2019-02-19 | International Business Machines Corporation | Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts |
US10243079B2 (en) | 2017-06-30 | 2019-03-26 | International Business Machines Corporation | Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning |
US10879180B2 (en) * | 2017-11-28 | 2020-12-29 | Globalfoundries Inc. | FinFET with etch-selective spacer and self-aligned contact capping layer |
TWI719430B (zh) * | 2018-03-21 | 2021-02-21 | 台灣積體電路製造股份有限公司 | 積體晶片及其形成方法 |
CN110364437A (zh) * | 2018-04-11 | 2019-10-22 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
US10685872B2 (en) * | 2018-05-30 | 2020-06-16 | International Business Machines Corporation | Electrically isolated contacts in an active region of a semiconductor device |
CN109244072B (zh) * | 2018-09-03 | 2021-05-18 | 芯恩(青岛)集成电路有限公司 | 半导体器件结构及其制作方法 |
KR20210130237A (ko) | 2019-03-20 | 2021-10-29 | 도쿄엘렉트론가부시키가이샤 | 반도체 소자를 위한 금속 규화물을 선택적으로 형성하는 방법 |
KR102695369B1 (ko) | 2019-09-04 | 2024-08-16 | 삼성전자주식회사 | 반도체 소자 |
KR20210073142A (ko) | 2019-12-10 | 2021-06-18 | 삼성전자주식회사 | 반도체 장치 |
US11888064B2 (en) * | 2020-06-01 | 2024-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
CN111969060B (zh) * | 2020-08-07 | 2024-04-16 | 长江存储科技有限责任公司 | 一种半导体器件及其制作方法 |
US11935941B2 (en) * | 2020-08-14 | 2024-03-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for manufacturing thereof |
US11855153B2 (en) * | 2021-03-10 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
KR20220130352A (ko) | 2021-03-18 | 2022-09-27 | 삼성전자주식회사 | 반도체 장치 |
US20230268223A1 (en) * | 2022-02-24 | 2023-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US20230290883A1 (en) * | 2022-03-10 | 2023-09-14 | Applied Materials, Inc. | Transistor devices with multi-layer interlayer dielectric structures |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001168092A (ja) * | 1999-01-08 | 2001-06-22 | Toshiba Corp | 半導体装置およびその製造方法 |
DE10056871B4 (de) * | 2000-11-16 | 2007-07-12 | Advanced Micro Devices, Inc., Sunnyvale | Feldeffekttransistor mit verbessertem Gatekontakt und Verfahren zur Herstellung desselben |
KR100632658B1 (ko) | 2004-12-29 | 2006-10-12 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 형성방법 |
JP5091397B2 (ja) | 2005-10-27 | 2012-12-05 | パナソニック株式会社 | 半導体装置 |
US7968952B2 (en) | 2006-12-29 | 2011-06-28 | Intel Corporation | Stressed barrier plug slot contact structure for transistor performance enhancement |
US7799678B2 (en) | 2008-01-30 | 2010-09-21 | Freescale Semiconductor, Inc. | Method for forming a through silicon via layout |
US7759262B2 (en) * | 2008-06-30 | 2010-07-20 | Intel Corporation | Selective formation of dielectric etch stop layers |
US7768074B2 (en) | 2008-12-31 | 2010-08-03 | Intel Corporation | Dual salicide integration for salicide through trench contacts and structures formed thereby |
US8329578B2 (en) | 2009-03-27 | 2012-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via structure and via etching process of forming the same |
US8202776B2 (en) | 2009-04-22 | 2012-06-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for protecting a gate structure during contact formation |
US8450216B2 (en) * | 2010-08-03 | 2013-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact etch stop layers of a field effect transistor |
US9589803B2 (en) * | 2012-08-10 | 2017-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate electrode of field effect transistor |
US9312354B2 (en) * | 2014-02-21 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact etch stop layers of a field effect transistor |
-
2014
- 2014-02-21 US US14/186,883 patent/US9312354B2/en not_active Expired - Fee Related
- 2014-04-30 CN CN201410181541.9A patent/CN104867975A/zh active Pending
- 2014-06-05 KR KR1020140068322A patent/KR101656136B1/ko active IP Right Grant
- 2014-12-25 TW TW103145541A patent/TWI542017B/zh active
-
2016
- 2016-03-24 US US15/080,087 patent/US9685369B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US9312354B2 (en) | 2016-04-12 |
US20160204026A1 (en) | 2016-07-14 |
CN104867975A (zh) | 2015-08-26 |
KR101656136B1 (ko) | 2016-09-08 |
US20150243751A1 (en) | 2015-08-27 |
US9685369B2 (en) | 2017-06-20 |
KR20150099369A (ko) | 2015-08-31 |
TW201533911A (zh) | 2015-09-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI542017B (zh) | 場效電晶體及其製作方法 | |
US10262878B2 (en) | Fluorine contamination control in semiconductor manufacturing process | |
CN108933084B (zh) | 用于纳米片装置的取代金属栅极图案化 | |
US10269628B2 (en) | FinFET low resistivity contact formation method | |
US10741400B2 (en) | Gate replacement structures in semiconductor devices | |
US9899521B2 (en) | FinFET low resistivity contact formation method | |
US9099494B2 (en) | Contact structure of semiconductor device | |
CN104037226B (zh) | 具有非对称源极/漏极结构的FinFET及其制造方法 | |
TWI508145B (zh) | 製作替代金屬閘極及接觸金屬之結構及方法 | |
US7939392B2 (en) | Method for gate height control in a gate last process | |
US9048317B2 (en) | Contact structure of semiconductor device | |
US20130240990A1 (en) | Semiconductor structure and method for manufacturing the same | |
US9419100B2 (en) | Method for fabricating a metal gate electrode | |
US10847634B2 (en) | Field effect transistor and method of forming the same | |
TW202431545A (zh) | 半導體裝置及其製造方法 |