CN103681347A - 制造FinFET器件的方法 - Google Patents

制造FinFET器件的方法 Download PDF

Info

Publication number
CN103681347A
CN103681347A CN201210580950.7A CN201210580950A CN103681347A CN 103681347 A CN103681347 A CN 103681347A CN 201210580950 A CN201210580950 A CN 201210580950A CN 103681347 A CN103681347 A CN 103681347A
Authority
CN
China
Prior art keywords
fin
substrate
finfet
fin formula
fluting groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210580950.7A
Other languages
English (en)
Other versions
CN103681347B (zh
Inventor
巫凯雄
曾博瑞
简珮珊
曾伟雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103681347A publication Critical patent/CN103681347A/zh
Application granted granted Critical
Publication of CN103681347B publication Critical patent/CN103681347B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Abstract

本方面公开了一种制造鳍式场效应晶体管的方法。制造FinFET器件,首先要接收FinFET前体。该前体包括衬底和衬底上的鳍式结构。沿着前体中的鳍式结构的侧壁可形成侧壁间隔件。对鳍式结构的一部分开槽,以形成将侧壁间隔件作为鳍上部的开槽沟槽。半导体结构外延生长在开槽沟槽中且在开槽沟槽的上方持续生长,以形成外延结构。

Description

制造FinFET器件的方法
技术领域
本申请总体涉及半导体领域,更具体地,涉及制造FinFET器件的方法。
背景技术
半导体集成电路(IC)行业发展迅速。由于IC材料和设计在技术上的进步,使得IC不断地更新换代,新一代IC比前一代IC具有更小但更复杂的电路。在IC的发展过程中,通常增大了功能密度(即,在每个芯片区域内互连器件的数量),但缩小了几何尺寸(即,通过制造工艺可以得到的最小部件(或线))。这种按比例缩小工艺的优点在于提高了生产效率和降低了相关成本。
这种按比例缩小工艺也增强了IC的加工和制造的复杂度。为了实现这些进步,我们需要IC加工和制造方面也要有相似的发展。例如,已经使用三维晶体管,如鳍式场效应晶体管(FinFET)来代替平面晶体管。虽然现有的FinFET器件和制造FinFET器件的方法一般足以实现其预期的使用目的,但是仍无法做到尽善尽美。例如,源级/漏极极外延结构的高度和宽度发生变化,就会给FinFET工艺发展带来挑战。因此,期望在此领域有所改善。
发明内容
为解决上述问题,本发明提供了一种制造鳍式场效应晶体管(FinFET)器件的方法,该方法包括以下步骤:接收FinFET前体,FinFET前体包括:衬底;和鳍式结构,位于衬底上,鳍式结构彼此之间具有间隔距离(S);沿着鳍式结构的侧壁形成具有预定间隔件高度(Hs)的侧壁间隔件;对鳍式结构开槽以形成开槽沟槽,其中,侧壁间隔件是开槽沟槽的上部;以及在开槽沟槽的上方形成具有高度(H)和宽度(W)的外延结构。
其中,可通过间隔件蚀刻工艺调节间隔件高度(Hs)。
其中,通过在开槽沟槽中的被开槽的鳍上外延生长半导体材料来形成外延结构,外延生长延伸到开槽沟槽的上方以形成外延结构。
其中,通过多次沉积工艺形成外延结构,其中每一次沉积工艺都对应不同的半导体材料。
其中,可通过Hs和固定的S调节W。
其中,可通过Hs和固定的S调节H。
其中,可通过Hs和固定的S调节两个相邻的外延结构之间的合并间隔(M)。
其中,外延结构包括源级/漏极结构。
该方法进一步包括:在形成外延结构之后,通过选择性蚀刻工艺去除侧壁间隔件。
此外,还提供了一种制造鳍式场效应晶体管(FinFET)器件的方法,该方法包括以下步骤:接收FinFET前体,FinFET前体包括:衬底;鳍式结构,位于衬底上,鳍式结构包括彼此之间具有间隔距离(S)的鳍;隔离区,将鳍隔开;和栅极堆叠件,位于衬底上;沿着鳍式结构的鳍和栅极堆叠件形成具有预定间隔件高度(Hs)的侧壁间隔件;去除鳍的一部分以形成开槽沟槽,其中,侧壁间隔件限定开槽沟槽的上部;在开槽沟槽中的被开槽的鳍上外延生长半导体材料;以及持续生长半导体材料,以延伸到开槽沟槽的上方,从而形成外延结构。
其中,通过间隔件蚀刻工艺控制Hs。
其中,外延结构形成有可由Hs调节的宽度(W)。
其中,外延结构形成有可由Hs调节的高度(H)。
其中,相邻的外延结构形成有可由Hs调节的合并间隔(M)。
其中,外延结构的形状具有晶面取向的至少一个切面。
其中,晶面取向是(111)结晶取向。
其中,外延结构具有四个切面,并且四个切面具有(111)结晶取向。
其中,外延结构包括源级/漏极结构。
其中,通过执行多次外延生长工艺利用不同的半导体材料形成外延结构。
此外,提供了一种制造鳍式场效应晶体管(FinFET)器件的方法,该方法包括以下步骤:接收FinFET前体,FinFET前体包括:衬底;鳍式结构,位于衬底上,鳍式结构包括彼此之间具有间隔距离(S)的鳍;隔离区,将鳍隔开;和栅极堆叠件,位于衬底上;沿着鳍和栅极堆叠件形成具有预定间隔件高度(Hs)的侧壁间隔件;去除鳍的一部分以形成开槽沟槽,其中,每个开槽沟槽均包括侧壁间隔件作为上部;以及在开槽沟槽的上方形成外延源级/漏极结构,其中,外延源级/漏极结构的宽度和高度可由Hs调节,两个相邻的外延源级/漏极结构之间的合并间隔可由Hs调节。
附图说明
当结合附图进行阅读时,通过下列详细的描述和附图,可以理解本发明的各方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的数量和尺寸可以被任意增加或减少。
图1示出了根据本公开的各方面的制造FinFET器件的实例方法的流程图。
图2示出了根据本公开的各方面的FinFET前体的俯视图。
图3A示出了沿图2中A-A线切割得到的FinFET前体的截面图。
图4A、图5A和图6A示出了沿图2中A-A线切割得到的FinFET器件的截面图。
图3B示出了沿图2中B-B线切割得到的FinFET前体的截面图。
图4B、图5B、图6B、图7A、图7B和图7C示出了沿图2中B-B线切割得到的FinFET器件的截面图。
具体实施方式
以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。另外,本公开可以在不同实例中重复参考符号和/或字符。这种重复用于简化和清楚,并且其本身不表示所述多个实施例和/或配置之间的关系。
能够从本申请的一个或多个实施例中获益的器件实例是半导体器件。例如,这样的器件是FinFET器件。例如,这种FinFET器件可以是包括P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)的互补金属氧化物半导体(CMOS)。下文继续以FinFET为例,以示出本申请的不同实施例。然而,应该理解,除非有特殊说明,否则本申请并不用于限制特殊类型的器件。
图1示出了根据本公开的各方面的制造FinFET器件600的方法的流程图。图2示出了FinFET前体200的俯视图。参见图2,沿A-A线和B-B线切割,分别得到FinFET前体200和FinFET器件600的截面图。图3A、图4A、图5A和图6A是沿图2中A-A线切割的截面图。图3B、图4B、图5B、图6B、图7A、图7B和图7C示出了沿图2中B-B线且垂直于A-A线方向的截面图。参见图1到图7,同时介绍了方法100、FinFET前体200和FinFET器件600。应该理解,在方法100之前、之中和之后可以提供附加步骤,并且,在方法的其他实施例中,可以替换或去掉所述的一些附加步骤。
方法100以步骤102为开始,其中,如图2、图3A和图3B所示,接收FinFET前体200。FinFET前体200包括衬底210。衬底210可以是体硅衬底。备选地,衬底210可以包括元素半导体(如晶体结构中的硅或锗)、复合半导体(如硅锗、碳化硅、镓砷、磷化镓、磷化铟、砷化铟,和/或锑化铟)、或其组合。衬底210还可以包括绝缘体上硅(SOI)衬底。通过注氧隔离技术(SIMOX)、晶圆接合和/或其他适合的方法制造SOI衬底。
一些示例性衬底210也包括绝缘层。绝缘层包括任何适合的材料,如,氧化硅、蓝宝石、和/或其组合。示例性绝缘层可以是埋氧层(BOX)。通过任何适合的工艺形成绝缘体,诸如注入(如SIMOX)、氧化、沉积、和/或其他适合工艺。在一些示例性FinFET前体200中,绝缘层是绝缘体上硅衬底的元件(如,层)。
根据现有技术的已知要求,衬底210可以包括各种掺杂区。掺杂区可以掺杂有p型掺杂物(如硼或BF2)、n型掺杂物(如磷或砷)、或其组合。可以通过使用突起结构或直接在P阱结构、N阱结构和双阱结构中的衬底210上形成掺杂区。衬底210还可以进一步包括各种有源区,如为N型金属氧化物半导体晶体管器件配置的区和为P型金属氧化物半导体晶体管器件配置的区。
鳍220形成在衬底210上。在一些实施例中,前体200包括相互之间存在间隔距离(S)的多个鳍220。通过任何适合的工艺(包括各种沉积、光刻、和/或蚀刻工艺)形成鳍220。示例性光刻工艺包括在衬底(如硅层上)的上方形成光刻胶层(抗蚀剂),将抗蚀剂曝光于图案,进行后曝光烘烤工艺,以及显影抗蚀剂,从而形成包括抗蚀剂的掩模元件(maskingelement)。然后,使用掩模元件将鳍式结构蚀刻入衬底210中。使用反应离子蚀刻(RIE)工艺和/或其他适合的工艺蚀刻不被掩模元件保护的区域。在一个实例中,通过图案化和蚀刻硅衬底210的一部分,以形成硅鳍220。在另一个实例中,通过图案化和蚀刻沉积在绝缘层(例如,SOI衬底的硅-绝缘体-硅堆叠的上硅层)上方的硅层,以形成鳍220。作为代替传统光刻的工艺,通过双图案化光刻(DPL)工艺形成鳍220。DPL是一种通过将图案分为两个交错图形,以在衬底上构建图案的方法。DPL允许增强的功能(例如,鳍)密度。各种DPL方法包括双重曝光(例如,使用两个掩模组),形成邻近部件的间隔件,然后去除部件以提供间隔件的图案,防冻、和/或其他适合的工艺。应该理解,通过相似的方式可以形成多个平行的鳍式结构220。
在衬底210上形成隔离区230,以将衬底210的有源区隔开,也可进一步用于将鳍220隔开。可以使用传统的隔离技术(如浅沟槽隔离(STI))形成隔离区230,以界定且电隔离各种区。隔离区230包括氧化硅、氮化硅、氮氧化硅、气隙、其他适合的材料、或其组合。通过适合的工艺形成隔离区230。例如,STI的形成包括光刻工艺、蚀刻衬底中沟槽的蚀刻工艺(例如,使用干式蚀刻和/或湿式蚀刻)、以及使用一种或多种介电材料填充沟槽的沉积(例如,使用化学气相沉积工艺)。如本实施例中,可以填充部分的沟槽,其中,沟槽之间残留的衬底形成鳍式结构。在一些实例中,被填充的沟槽可以具有多层结构,如用氮化硅或氧化硅填充的热氧化衬垫层。
一个或多个栅极堆叠件240形成在衬底210的上方以及鳍220的一部分的上方(包裹)。栅极堆叠件240可以包括介电层244、栅电极层246和硬掩模层248。应该理解,栅极堆叠件可以包括附加层,如界面层、覆盖层、扩散/阻挡层、介电层、导电层、其他适合的层、和/或其组合。例如,电介质244可以包括界面层(IL)242和栅极介电层243。IL形成在衬底210和鳍220的上方。通过任何适合的工艺形成具有任何适当厚度的IL 242。示例性IL包括氧化硅(例如,热氧化或化学氧化)和/或氮氧化硅(SiON)。通过任何适当的工艺在IL242的上方形成栅极介电质层243。栅极介电质层243包括介质材料,如氧化硅,氮化硅、氮氧化硅、高k介质材料、其他适合的介质材料、和/或其组合。例如,高k介质材料包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、氧化铪-氧化铝(HfO2-Al2O3)合金、其他适合的高k介质材料、和/或其组合。
通过任何适合的工艺,在介电层244的上方形成栅电极层246。栅电极层246包括任何适合的材料,如多晶硅、铝、铜、钛、钽、钨、钼、氮化钽、硅化镍、硅化钴、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、其他适合的材料、和/或其组合。
通过任何适合的工艺,在栅电极层246的上方形成硬掩模层248。硬掩模层248包括任何适合的材料,如,氮化硅、SiON、SiC、SiOC、旋涂玻璃(SOG)、低k膜、正硅酸乙脂(TEOS)、等离子增强型(CVD)氧化物(PE氧化物)、高深宽比工艺(HARP)形成的氧化物、和/或其他适合的材料。
通过任何适合的工艺或多个工艺,形成栅极堆叠件240。例如,可以通过一个过程(包括沉积、光刻图案化和蚀刻工艺)形成栅极堆叠件240。沉积工艺包括化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)、高密度等离子CVD(HDPCVD)、金属有机CVD(MOCVD)、远程等离子(CVD)(RPCVD)、等离子增强CVD(PECVD)、电镀、其他适合的方法、和/或其组合。光刻图案化工艺包括光刻胶涂覆(例如,旋涂)、软烘、掩模对准、曝光、后曝光烘烤工艺、光刻胶显影、冲洗、干燥(例如,硬烘)、其他适合的工艺、和/或其组合。备选地,用其他适合的方法(如无掩模光刻、电子束写入和离子束写入)完成或代替光刻曝光工艺。蚀刻工艺包括干式蚀刻、湿式蚀刻、和/或其他蚀刻方法(例如,反应离子蚀刻)。
在其他实施例中,栅极堆叠件240是虚拟栅极堆叠件,并且在进行高热预算工艺之后,栅极堆叠件240被金属栅极(MG)代替。虚拟栅极堆叠件240可以包括介电层244、多晶硅层246和硬掩模层248。
再参见图1,只要接收到FinFET前体200,方法100继续步骤104,其中,沿着栅极堆叠件240和鳍220形成侧壁间隔件310,如图4A和图4B所示。侧壁间隔件310通常包括介质材料,如氧化硅。备选地,侧壁间隔件310可以包括氮化硅、SiC、SiON、或其组合。典型的侧壁间隔件310的形成方法包括:在栅极堆叠件240和鳍220的上方沉积介质材料,然后非均质地回蚀介质材料。回蚀工艺可以包括多步蚀刻,才能实现蚀刻的选择性、灵活性和预期的过度蚀刻控制。在本实施例中,控制回蚀的深度以达到预定的鳍-间隔件-高度(Hs)。本文的Hs被定义为沿着鳍220的间隔件高度。可通过调节回蚀工艺,如过度蚀刻的蚀刻参数,来调节Hs。设计Hs的目的是,实现后续外延结构的目标尺寸,下文会给出详细的介绍。
方法100继续步骤106,其中,对鳍220开槽,以形成开槽沟槽400,如图5A和5B所示。在所述的实施例中,形成将侧壁间隔件作为其上部的开槽沟槽400。在一个实施例中,开槽沟槽400的侧壁大致彼此垂直平行。在其他实施例中,用非垂直平行的分布方式(profile)形成开槽沟槽400。
开槽工艺可以包括干式蚀刻工艺、湿式蚀刻工艺、和/或其组合。开槽工艺可以包括选择性湿式蚀刻或选择性干式蚀刻。湿式蚀刻溶液包括四甲基氢氧化铵(TMAH)、HF/HNO3/CH3COOH溶液、或其他适合的溶液。干式和湿式蚀刻工艺具有可调的蚀刻参数,如使用的蚀刻剂、蚀刻温度、蚀刻溶液浓度、蚀刻气压、源功率、射频(RF)偏压、RF偏置功率、蚀刻剂流量率、和其他适合的参数。例如,湿式蚀刻溶液可以包括NH4OH、氢氧化钾(KOH)、氢氟酸(HF)、四甲基氢氧化铵(TMAH)、其他适合的湿式蚀刻溶液、或其组合。干式蚀刻工艺包括采用基于氯的化学过程的偏置等离子蚀刻工艺。其他干式蚀刻剂气体包括CF4、NF3、SF6和He。也可以使用深反应离子蚀刻(DRIE)这样的机理,非均质地进行干式蚀刻。
方法100继续步骤108,其中,在开槽沟槽400的上方形成外延结构450,如图6A和6B所示。通过外延生长半导体材料440形成外延结构450。半导体材料440包括单元素半导体材料(如锗(Ge)或硅(Si))、或复合半导体材料(砷化镓(GaAs)和砷化铝镓(AlGaAs))、或半导体合金(如锗化硅(SiGe)、磷砷化镓(GaAsP))。外延结构450具有任何适合的结晶取向(如(100)、(110)、或(111)结晶取向)。在一个实施例中,外延结构450包括源级/漏极外延结构。在一个实例中,期望得到N型FET(NFET)器件,源级/漏极外延结构450可以包括外延生长硅(epi Si)440。备选地,期望得到P型FET(PFET),外延源级/漏极结构450可以包括外延生长的锗化硅(SiGe)440。
半导体材料440外延生长在开槽沟槽400的被开槽鳍220上,且在开槽沟槽的上方持续生长,以形成具有高度(H)和宽度(W)的外延结构450。
通过一种或多种外延(epi)工艺可以形成外延结构450,这样能够在被开槽鳍220上的结晶状态中形成一个或多个外延部件,如Si部件、SiGe部件和/或其他适合的部件。外延工艺包括CVD沉积技术(如,气相外延(VPE)和/或超高真空CVD(UHV-CVD))、分子束外延生长、和/或其他适合的工艺。
在一个实施例中,外延结构450具有四个切面450A、450B、450C和450D。每个切面具有(111)结晶取向。外延结构450的形状类似于菱形,也就是说,切面450A与切面450C平行,而切面450B与切面450D平行。切面450A和450D与隔离部件220的表面形成固定角
Figure BDA00002662849500081
切面450B和450D与和隔离部件220的表面平行的方向形成固定角例如,角
Figure BDA00002662849500091
和角
Figure BDA00002662849500092
均为54.7度。
参见图7A-图7C,由于两个相邻鳍220之间的间隔距离(S)是固定的,不同的鳍-间隔件-高度(Hs)可能会引起外延结构450的H和W的不同,同时也会引起两个相邻外延结构450之间的合并距离(M)的不同。本文中,M被定义为两个相邻外延结构450的两个最近顶点之间的距离。当两个相邻顶点合并时,M的值介于零和负值之间。在一个实施例中,HsA大于HsB,而HsB大于HsC
MA>MB>MC(均为负值),
MC>MB>MA,以及
HC>HB>HA。
在epi工艺中,外延结构450可以是原位掺杂或无掺杂。例如,外延生长的SiGe源级/漏极部件450可以掺杂有硼;并且,外延生长的Si外延源级/漏极部件可以掺杂有碳,以形成Si;C源级/漏极部件,掺杂磷,以形成Si;P源级/漏极部件,或同时掺杂碳和磷,以形成SiCP源级/漏极部件。如果外延结构450不是原位掺杂,进行第二注入工艺(即,接合植入工艺),以掺杂外延结构450。可以进行一次或多次退火工艺,以激活源级/漏极部件外延结构。退火工艺包括快速热退火(RTA)和/或激光退火工艺。
在一个实施例中,方法100可以进一步包括在形成外延结构450之后,去除侧壁间隔件310。通过选择性蚀刻工艺去除侧壁间隔件310。
在方法100之前、之中和之后能够提供附加步骤,并且,在方法100的其他实施例中,上述的一些附加步骤可以被替代、去除或打乱顺序。例如,当在PMOS器件中形成外延结构450时,通过沉积工艺,在NMOS器件上形成一个或多个层(如光刻胶层或介电层),以作为保护层。
FinFET器件600可以包括附加部件,该附加部件通过后续处理形成。例如,各种接触件/孔/线和多层互连部件(如金属层和层间电介质)可以形成在衬底的上方,且被配置为连接器件600的各种部件或结构。例如,多层互连件包括垂直互连件(如常规孔或接触件)、和水平互连件(如金属线)。各种互连部件可以实施包括铜、钨、和/或硅化物的各种导电材料。
基于上述可知,本公开提供了制造FinFET器件的方法。该方法包括通过调节鳍-间隔件-高度(Hs)来调节外延结构的高度(H)、宽度(W)和合并距离(M)。所示方法也实现了更好地控制外延结构的H,W和M以及提高了FinFET器件的性能。
本公开提供了制造FinFET器件的多种不同实施例,且与现有技术相比,该FinFET器件在一个或多个方面均有改进。在一个实施例中,制造FinFET器件的方法包括:接收包括衬底和均位于衬底上的鳍式结构和隔离区的FinFET前体,其中,鳍式结构彼此之间具有间隔距离(S),而隔离区将鳍隔开。该方法进一步包括:沿着鳍的侧壁形成具有预定间隔件高度(Hs)的侧壁间隔件,对鳍开槽以形成将侧壁间隔件作为其上部的开槽沟槽,以及在具有高度(H)和宽度(W)的开槽沟槽的上方形成外延结构。
在另一个实施例中,制造FinFET器件的方法包括:接收包括衬底和均位于衬底上的鳍式结构、隔离区和栅极堆叠件的FinFET前体,鳍式结构彼此之间具有间隔距离(S),而隔离区将鳍隔开。该方法也包括:沿着鳍和栅极堆叠件形成具有预定间隔件高度(Hs)的侧壁间隔件,去除鳍的一部分以形成开槽沟槽,其中,开槽沟槽将侧壁间隔件作为其的上部,在开槽沟槽中的被开槽鳍上外延生长半导体材料,以及持续生长半导体材料,延伸到开槽沟槽的上方以形成外延结构。
在另一个实施例中,制造FinFET器件的方法包括:接收包括衬底和均位于衬底上的鳍式结构、隔离区和栅极堆叠件的FinFet前体,鳍式结构彼此之间具有间隔距离(S),隔离区将鳍隔开。该方法也包括:沿着鳍和栅极堆叠件形成具有预定间隔件高度(Hs)的侧壁间隔件,去除鳍的一部分以形成开槽沟槽,其中,开槽沟槽将侧壁间隔件作为其的上部,以及在开槽沟槽的上方形成外延源级/漏极结构,其中,通过调节Hs,可调外延源级/漏极结构的宽度(W)和高度(H),以及通过调节Hs,可调两个相邻外延源级/漏极结构的合并间隔(M)。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种制造鳍式场效应晶体管(FinFET)器件的方法,所述方法包括以下步骤:
接收FinFET前体,所述FinFET前体包括:
衬底;和
鳍式结构,位于所述衬底上,所述鳍式结构彼此之间具有间隔距离(S);
沿着所述鳍式结构的侧壁形成具有预定间隔件高度(Hs)的侧壁间隔件;
对所述鳍式结构开槽以形成开槽沟槽,其中,所述侧壁间隔件是所述开槽沟槽的上部;以及
在所述开槽沟槽的上方形成具有高度(H)和宽度(W)的外延结构。
2.根据权利要求1所述的方法,其中,可通过间隔件蚀刻工艺调节所述间隔件高度(Hs)。
3.根据权利要求1所述的方法,其中,通过在所述开槽沟槽中的被开槽的鳍上外延生长半导体材料来形成所述外延结构,外延生长延伸到所述开槽沟槽的上方以形成所述外延结构。
4.根据权利要求1所述的方法,其中,通过多次沉积工艺形成所述外延结构,其中每一次沉积工艺都对应不同的半导体材料。
5.根据权利要求1所述的方法,其中,可通过Hs和固定的S调节W。
6.根据权利要求1所述的方法,其中,可通过Hs和固定的S调节H。
7.根据权利要求1所述的方法,其中,可通过Hs和固定的S调节两个相邻的外延结构之间的合并间隔(M)。
8.根据权利要求1所述的方法,其中,所述外延结构包括源级/漏极结构。
9.一种制造鳍式场效应晶体管(FinFET)器件的方法,所述方法包括以下步骤:
接收FinFET前体,所述FinFET前体包括:
衬底;
鳍式结构,位于所述衬底上,所述鳍式结构包括彼此之间具有间隔距离(S)的鳍;
隔离区,将所述鳍隔开;和
栅极堆叠件,位于所述衬底上;
沿着所述鳍式结构的鳍和所述栅极堆叠件形成具有预定间隔件高度(Hs)的侧壁间隔件;
去除所述鳍的一部分以形成开槽沟槽,其中,所述侧壁间隔件限定所述开槽沟槽的上部;
在所述开槽沟槽中的被开槽的鳍上外延生长半导体材料;以及
持续生长所述半导体材料,以延伸到所述开槽沟槽的上方,从而形成外延结构。
10.一种制造鳍式场效应晶体管(FinFET)器件的方法,所述方法包括以下步骤:
接收FinFET前体,所述FinFET前体包括:
衬底;
鳍式结构,位于所述衬底上,所述鳍式结构包括彼此之间具有间隔距离(S)的鳍;
隔离区,将所述鳍隔开;和
栅极堆叠件,位于所述衬底上;
沿着所述鳍和所述栅极堆叠件形成具有预定间隔件高度(Hs)的侧壁间隔件;
去除所述鳍的一部分以形成开槽沟槽,其中,每个开槽沟槽均包括所述侧壁间隔件作为上部;以及
在所述开槽沟槽的上方形成外延源级/漏极结构,其中,所述外延源级/漏极结构的宽度和高度可由Hs调节,两个相邻的外延源级/漏极结构之间的合并间隔可由Hs调节。
CN201210580950.7A 2012-08-30 2012-12-27 制造FinFET器件的方法 Active CN103681347B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/599,393 2012-08-30
US13/599,393 US8703556B2 (en) 2012-08-30 2012-08-30 Method of making a FinFET device

Publications (2)

Publication Number Publication Date
CN103681347A true CN103681347A (zh) 2014-03-26
CN103681347B CN103681347B (zh) 2017-03-01

Family

ID=50188125

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210580950.7A Active CN103681347B (zh) 2012-08-30 2012-12-27 制造FinFET器件的方法

Country Status (4)

Country Link
US (4) US8703556B2 (zh)
KR (1) KR101376451B1 (zh)
CN (1) CN103681347B (zh)
TW (1) TWI517266B (zh)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105097807A (zh) * 2014-05-09 2015-11-25 台湾积体电路制造股份有限公司 FinFET器件的结构和形成方法
CN105244380A (zh) * 2014-07-07 2016-01-13 联华电子股份有限公司 鳍式场效晶体管及其制造方法
CN105304490A (zh) * 2014-07-23 2016-02-03 联华电子股份有限公司 半导体结构的制作方法
CN105489494A (zh) * 2014-10-09 2016-04-13 联华电子股份有限公司 半导体元件及其制作方法
CN105990441A (zh) * 2015-03-23 2016-10-05 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106024888A (zh) * 2015-03-25 2016-10-12 三星电子株式会社 包括面积增加的接触件的半导体器件
CN106057808A (zh) * 2015-04-14 2016-10-26 三星电子株式会社 半导体器件及制造其的方法
CN106098772A (zh) * 2015-04-30 2016-11-09 三星电子株式会社 半导体器件
CN106158753A (zh) * 2014-11-06 2016-11-23 台湾积体电路制造股份有限公司 半导体器件的结构和方法
CN106206580A (zh) * 2014-10-17 2016-12-07 台湾积体电路制造股份有限公司 鳍式场效应晶体管(FinFET)器件及其形成方法
CN106252230A (zh) * 2015-06-15 2016-12-21 台湾积体电路制造股份有限公司 用于finfet的环绕硅化物
CN106531737A (zh) * 2015-09-15 2017-03-22 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106684087A (zh) * 2015-11-11 2017-05-17 台湾积体电路制造股份有限公司 半导体器件及其静态随机存取存储器单元和制造方法
CN106711217A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN107104147A (zh) * 2016-02-23 2017-08-29 台湾积体电路制造股份有限公司 用于半导体器件的结构和方法
CN107154384A (zh) * 2016-03-04 2017-09-12 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN107316904A (zh) * 2016-04-25 2017-11-03 台湾积体电路制造股份有限公司 FinFET及其形成方法
CN107665862A (zh) * 2016-07-29 2018-02-06 台湾积体电路制造股份有限公司 通过扩散掺杂和外延轮廓成型
CN108122775A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 Fet和形成fet的方法
CN108735674A (zh) * 2017-04-20 2018-11-02 台湾积体电路制造股份有限公司 用于源极/漏极外延区的灵活合并方案
CN108807270A (zh) * 2017-04-28 2018-11-13 台湾积体电路制造股份有限公司 Finfet器件及其形成方法
CN109216460A (zh) * 2017-06-29 2019-01-15 三星电子株式会社 具有鳍结构的半导体器件
CN109872953A (zh) * 2017-12-04 2019-06-11 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180070718A (ko) * 2011-12-30 2018-06-26 인텔 코포레이션 집적 회로 구조물 및 집적 회로 구조물의 제조 방법
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8779517B2 (en) 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US9397098B2 (en) 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US8815693B2 (en) * 2013-01-23 2014-08-26 International Business Machines Corporation FinFET device formation
US8975125B2 (en) * 2013-03-14 2015-03-10 International Business Machines Corporation Formation of bulk SiGe fin with dielectric isolation by anodization
US9634000B2 (en) 2013-03-14 2017-04-25 International Business Machines Corporation Partially isolated fin-shaped field effect transistors
US8765546B1 (en) * 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9293586B2 (en) 2013-07-17 2016-03-22 Globalfoundries Inc. Epitaxial block layer for a fin field effect transistor device
US8981487B2 (en) * 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9034737B2 (en) * 2013-08-01 2015-05-19 Globalfoundries Inc. Epitaxially forming a set of fins in a semiconductor device
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9472651B2 (en) 2013-09-04 2016-10-18 Globalfoundries Inc. Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
US8993406B1 (en) * 2013-09-10 2015-03-31 International Business Machines Corporation FinFET device having a merged source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
KR102176513B1 (ko) * 2013-09-25 2020-11-09 인텔 코포레이션 Finfet 아키텍처용 고체-상태 확산 소스를 갖는 분리 웰 도핑
US9812336B2 (en) * 2013-10-29 2017-11-07 Globalfoundries Inc. FinFET semiconductor structures and methods of fabricating same
US9472652B2 (en) * 2013-12-20 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9660035B2 (en) * 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
KR102193493B1 (ko) * 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9553171B2 (en) * 2014-02-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
CN104900525A (zh) * 2014-03-07 2015-09-09 联华电子股份有限公司 半导体元件及其制造方法以及半导体层的结构
US10141311B2 (en) * 2014-03-24 2018-11-27 Intel Corporation Techniques for achieving multiple transistor fin dimensions on a single die
US9882027B2 (en) 2014-03-27 2018-01-30 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
US10112194B2 (en) * 2014-04-14 2018-10-30 Q-Linea Ab Detection of microscopic objects
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9312388B2 (en) * 2014-05-01 2016-04-12 Globalfoundries Inc. Methods of forming epitaxial semiconductor material in trenches located above the source and drain regions of a semiconductor device
CN105185712B (zh) * 2014-05-02 2020-09-08 三星电子株式会社 包括鳍式场效应晶体管的集成电路器件及其形成方法
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9318574B2 (en) * 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
KR102200345B1 (ko) * 2014-06-26 2021-01-11 삼성전자주식회사 반도체 소자 및 그 제조방법
US9349649B2 (en) 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
WO2016022260A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. A method of modifying epitaxial growth shape on source drain area of transistor
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
KR102410135B1 (ko) * 2014-09-12 2022-06-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9312274B1 (en) * 2014-10-15 2016-04-12 Globalfoundries Inc. Merged fin structures for finFET devices
US9515156B2 (en) * 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
TWI612671B (zh) 2014-10-24 2018-01-21 聯華電子股份有限公司 半導體元件及其製作方法
US9478642B2 (en) 2014-11-10 2016-10-25 Globalfoundries Inc. Semiconductor junction formation
US9953979B2 (en) * 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
US9129988B1 (en) * 2014-11-26 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method of manufacturing the same
US9472470B2 (en) * 2014-12-09 2016-10-18 GlobalFoundries, Inc. Methods of forming FinFET with wide unmerged source drain EPI
KR20160072476A (ko) * 2014-12-15 2016-06-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN107004709B (zh) * 2014-12-22 2021-10-15 英特尔公司 防止子沟道漏电流的半导体装置
TW201624712A (zh) * 2014-12-26 2016-07-01 聯華電子股份有限公司 磊晶結構及其製程用以形成鰭狀場效電晶體
KR102262827B1 (ko) 2014-12-30 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI629790B (zh) 2015-01-26 2018-07-11 聯華電子股份有限公司 半導體元件及其製作方法
US9324656B1 (en) * 2015-03-09 2016-04-26 Globalfoundries Inc. Methods of forming contacts on semiconductor devices and the resulting devices
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9450047B1 (en) 2015-03-31 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having enlarged regrowth regions and manufacturing method of the same
KR20160125208A (ko) 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
KR102310076B1 (ko) * 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US9356027B1 (en) 2015-05-11 2016-05-31 International Business Machines Corporation Dual work function integration for stacked FinFET
KR102392695B1 (ko) 2015-05-26 2022-05-02 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102310081B1 (ko) 2015-06-08 2021-10-12 삼성전자주식회사 반도체 장치의 제조 방법
US9601378B2 (en) 2015-06-15 2017-03-21 International Business Machines Corporation Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
US9716041B2 (en) 2015-06-26 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
EP3314662A4 (en) * 2015-06-26 2019-03-27 Intel Corporation TRANSISTOR CRYSTAL PRODUCTION BY WRAPPING ON A VICTIM CORNER
TWI647845B (zh) * 2015-06-29 2019-01-11 聯華電子股份有限公司 半導體結構及其製作方法
US9330984B1 (en) * 2015-07-08 2016-05-03 International Business Machines Corporation CMOS fin integration on SOI substrate
US20170025509A1 (en) * 2015-07-24 2017-01-26 International Business Machines Corporation Strained silicon germanium fin with controlled junction for finfet devices
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US9450094B1 (en) 2015-09-08 2016-09-20 United Microelectronics Corp. Semiconductor process and fin-shaped field effect transistor
US9991385B2 (en) * 2015-09-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced volume control by recess profile control
CN106549053B (zh) * 2015-09-17 2021-07-27 联华电子股份有限公司 半导体结构及其制作方法
DE112015006974T5 (de) 2015-09-25 2019-01-24 Intel Corporation Verfahren zum Dotieren von Finnenstrukturen nicht planarer Transsistorenvorrichtungen
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
US9735242B2 (en) * 2015-10-20 2017-08-15 Globalfoundries Inc. Semiconductor device with a gate contact positioned above the active region
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9853110B2 (en) 2015-10-30 2017-12-26 Globalfoundries Inc. Method of forming a gate contact structure for a semiconductor device
US10026662B2 (en) * 2015-11-06 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10084090B2 (en) 2015-11-09 2018-09-25 International Business Machines Corporation Method and structure of stacked FinFET
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9577036B1 (en) * 2015-11-12 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET isolation structure and method for fabricating the same
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9466693B1 (en) 2015-11-17 2016-10-11 International Business Machines Corporation Self aligned replacement metal source/drain finFET
KR102413371B1 (ko) 2015-11-25 2022-06-28 삼성전자주식회사 반도체 소자
KR102523125B1 (ko) 2015-11-27 2023-04-20 삼성전자주식회사 반도체 소자
US9553093B1 (en) 2015-12-11 2017-01-24 International Business Machines Corporation Spacer for dual epi CMOS devices
US9431399B1 (en) 2015-12-15 2016-08-30 International Business Machines Corporation Method for forming merged contact for semiconductor device
US9443855B1 (en) * 2015-12-15 2016-09-13 International Business Machines Corporation Spacer formation on semiconductor device
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
US10497701B2 (en) 2015-12-16 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6539578B2 (ja) 2015-12-22 2019-07-03 株式会社Screenホールディングス 熱処理装置および熱処理方法
US10490552B2 (en) 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US9653604B1 (en) * 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10032913B2 (en) * 2016-01-08 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures, FinFET devices and methods of forming the same
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9755019B1 (en) * 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9780218B1 (en) * 2016-05-02 2017-10-03 United Microelectronics Corp. Bottom-up epitaxy growth on air-gap buffer
US10038094B2 (en) * 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof
US10276674B2 (en) 2016-06-28 2019-04-30 Globalfoundries Inc. Method of forming a gate contact structure and source/drain contact structure for a semiconductor device
CN107564953B (zh) * 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法
US9917210B1 (en) 2016-10-20 2018-03-13 International Business Machines Corporation FinFET transistor gate and epitaxy formation
US9899515B1 (en) * 2016-10-31 2018-02-20 International Business Machines Corporation Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
US9806078B1 (en) * 2016-11-02 2017-10-31 Globalfoundries Inc. FinFET spacer formation on gate sidewalls, between the channel and source/drain regions
CN108075038A (zh) * 2016-11-11 2018-05-25 中芯国际集成电路制造(上海)有限公司 动态随机存储器及其形成方法
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US10510762B2 (en) 2016-12-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain formation technique for fin-like field effect transistor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US9929157B1 (en) 2016-12-22 2018-03-27 Globalfoundries Inc. Tall single-fin fin-type field effect transistor structures and methods
US9859166B1 (en) 2017-01-24 2018-01-02 International Business Machines Corporation Vertical field effect transistor having U-shaped top spacer
US9929246B1 (en) 2017-01-24 2018-03-27 International Business Machines Corporation Forming air-gap spacer for vertical field effect transistor
US10249535B2 (en) * 2017-02-15 2019-04-02 Globalfoundries Inc. Forming TS cut for zero or negative TS extension and resulting device
WO2018182617A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing non-selective deposition of source/drain material
US10396075B2 (en) * 2017-05-01 2019-08-27 International Business Machines Corporation Very narrow aspect ratio trapping trench structure with smooth trench sidewalls
US10312160B2 (en) * 2017-05-26 2019-06-04 International Business Machines Corporation Gate-last semiconductor fabrication with negative-tone resolution enhancement
CN109087892B (zh) * 2017-06-14 2023-03-21 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法、鳍式场效应晶体管的形成方法
US10727131B2 (en) * 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10141431B1 (en) 2017-07-31 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same
KR102365109B1 (ko) 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치
US11444173B2 (en) * 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10355105B2 (en) 2017-10-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors and methods of forming the same
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10658242B2 (en) * 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with Fin structures
US10510894B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10366982B2 (en) * 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
EP3718142A4 (en) 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT
US10636894B2 (en) 2018-03-09 2020-04-28 Globalfoundries Inc. Fin-type transistors with spacers on the gates
US10431502B1 (en) 2018-04-16 2019-10-01 International Business Machines Corporation Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact
CN110556338B (zh) * 2018-06-01 2021-10-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US11043424B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Increase the volume of epitaxy regions
KR102279471B1 (ko) * 2018-08-31 2021-07-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피택셜 소스/드레인 구조물 및 방법
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US11011426B2 (en) * 2018-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
JP7232081B2 (ja) * 2019-03-01 2023-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11222980B2 (en) * 2019-07-18 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11183591B2 (en) * 2019-10-30 2021-11-23 Avago Technologies International Sales Pte. Ltd. Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102077353A (zh) * 2008-06-30 2011-05-25 先进微装置公司 形成在体衬底上的双栅极与三栅极晶体管及形成该晶体管的方法
US20110147842A1 (en) * 2009-12-23 2011-06-23 Annalisa Cappellani Multi-gate semiconductor device with self-aligned epitaxial source and drain
US20110147828A1 (en) * 2009-12-21 2011-06-23 Murthy Anand S Semiconductor device having doped epitaxial region and its methods of fabrication
CN102194755A (zh) * 2010-03-01 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
JP5641228B2 (ja) 2010-02-10 2014-12-17 セイコーエプソン株式会社 記録装置
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
CN107068753B (zh) * 2011-12-19 2020-09-04 英特尔公司 通过部分熔化升高的源极-漏极的晶体管的脉冲激光退火工艺
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US9466696B2 (en) * 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102077353A (zh) * 2008-06-30 2011-05-25 先进微装置公司 形成在体衬底上的双栅极与三栅极晶体管及形成该晶体管的方法
US20110147828A1 (en) * 2009-12-21 2011-06-23 Murthy Anand S Semiconductor device having doped epitaxial region and its methods of fabrication
US20110147842A1 (en) * 2009-12-23 2011-06-23 Annalisa Cappellani Multi-gate semiconductor device with self-aligned epitaxial source and drain
CN102194755A (zh) * 2010-03-01 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制造方法

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105097807A (zh) * 2014-05-09 2015-11-25 台湾积体电路制造股份有限公司 FinFET器件的结构和形成方法
CN105097807B (zh) * 2014-05-09 2018-04-10 台湾积体电路制造股份有限公司 FinFET器件的结构和形成方法
CN105244380A (zh) * 2014-07-07 2016-01-13 联华电子股份有限公司 鳍式场效晶体管及其制造方法
CN105304490B (zh) * 2014-07-23 2020-09-15 联华电子股份有限公司 半导体结构的制作方法
CN105304490A (zh) * 2014-07-23 2016-02-03 联华电子股份有限公司 半导体结构的制作方法
CN105489494A (zh) * 2014-10-09 2016-04-13 联华电子股份有限公司 半导体元件及其制作方法
CN105489494B (zh) * 2014-10-09 2020-03-31 联华电子股份有限公司 半导体元件及其制作方法
US11158744B2 (en) 2014-10-17 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10686077B2 (en) 2014-10-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN106206580A (zh) * 2014-10-17 2016-12-07 台湾积体电路制造股份有限公司 鳍式场效应晶体管(FinFET)器件及其形成方法
US11721762B2 (en) 2014-10-17 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10964819B2 (en) 2014-10-17 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10546956B2 (en) 2014-10-17 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10840378B2 (en) 2014-10-17 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN106158753B (zh) * 2014-11-06 2019-06-14 台湾积体电路制造股份有限公司 半导体器件的结构和方法
CN106158753A (zh) * 2014-11-06 2016-11-23 台湾积体电路制造股份有限公司 半导体器件的结构和方法
US10319842B2 (en) 2015-03-23 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device
US10770569B2 (en) 2015-03-23 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
CN105990441A (zh) * 2015-03-23 2016-10-05 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106024888A (zh) * 2015-03-25 2016-10-12 三星电子株式会社 包括面积增加的接触件的半导体器件
CN106024888B (zh) * 2015-03-25 2021-03-26 三星电子株式会社 包括面积增加的接触件的半导体器件
CN106057808A (zh) * 2015-04-14 2016-10-26 三星电子株式会社 半导体器件及制造其的方法
CN106057808B (zh) * 2015-04-14 2022-01-04 三星电子株式会社 半导体器件及制造其的方法
CN106098772A (zh) * 2015-04-30 2016-11-09 三星电子株式会社 半导体器件
CN106098772B (zh) * 2015-04-30 2020-10-27 三星电子株式会社 半导体器件
US10665718B2 (en) 2015-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap Around Silicide for FinFETs
CN106252230B (zh) * 2015-06-15 2019-07-16 台湾积体电路制造股份有限公司 用于finfet的环绕硅化物
CN106252230A (zh) * 2015-06-15 2016-12-21 台湾积体电路制造股份有限公司 用于finfet的环绕硅化物
US11437479B2 (en) 2015-06-15 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US10170365B2 (en) 2015-06-15 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US10991795B2 (en) 2015-09-15 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10468482B2 (en) 2015-09-15 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN106531737A (zh) * 2015-09-15 2017-03-22 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US10714487B2 (en) 2015-11-11 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method of a semiconductor device
US11355500B2 (en) 2015-11-11 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory cell and manufacturing method thereof
CN106684087B (zh) * 2015-11-11 2020-07-31 台湾积体电路制造股份有限公司 半导体器件及其静态随机存取存储器单元和制造方法
CN106684087A (zh) * 2015-11-11 2017-05-17 台湾积体电路制造股份有限公司 半导体器件及其静态随机存取存储器单元和制造方法
US11574916B2 (en) 2015-11-11 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106711217B (zh) * 2015-11-16 2023-08-08 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN106711217A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN107104147A (zh) * 2016-02-23 2017-08-29 台湾积体电路制造股份有限公司 用于半导体器件的结构和方法
CN107104147B (zh) * 2016-02-23 2020-06-19 台湾积体电路制造股份有限公司 用于半导体器件的结构和方法
US11107734B2 (en) 2016-03-04 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107154384A (zh) * 2016-03-04 2017-09-12 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN107154384B (zh) * 2016-03-04 2020-11-20 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US10515958B2 (en) 2016-04-25 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
CN107316904B (zh) * 2016-04-25 2020-11-06 台湾积体电路制造股份有限公司 FinFET及其形成方法
CN107316904A (zh) * 2016-04-25 2017-11-03 台湾积体电路制造股份有限公司 FinFET及其形成方法
US10868005B2 (en) 2016-04-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming finFETs
US11171003B2 (en) 2016-07-29 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Doping through diffusion and epitaxy profile shaping
CN107665862B (zh) * 2016-07-29 2020-05-15 台湾积体电路制造股份有限公司 通过扩散掺杂和外延轮廓成型
US10910223B2 (en) 2016-07-29 2021-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Doping through diffusion and epitaxy profile shaping
CN107665862A (zh) * 2016-07-29 2018-02-06 台湾积体电路制造股份有限公司 通过扩散掺杂和外延轮廓成型
US11205713B2 (en) 2016-11-29 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a non-faceted top surface portion for a source/drain region
CN108122775A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 Fet和形成fet的方法
US11600715B2 (en) 2016-11-29 2023-03-07 Taiwan Semiconductor Manufacturing Company. Ltd. FETs and methods of forming FETs
CN108735674A (zh) * 2017-04-20 2018-11-02 台湾积体电路制造股份有限公司 用于源极/漏极外延区的灵活合并方案
US10985167B2 (en) 2017-04-20 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible merge scheme for source/drain epitaxy regions
US11856743B2 (en) 2017-04-20 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible merge scheme for source/drain epitaxy regions
CN108807270A (zh) * 2017-04-28 2018-11-13 台湾积体电路制造股份有限公司 Finfet器件及其形成方法
US11018242B2 (en) 2017-04-28 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure of FinFET device
US10811517B2 (en) 2017-04-28 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure of finFET device
US11810963B2 (en) 2017-04-28 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure of FinFET device
CN109216460A (zh) * 2017-06-29 2019-01-15 三星电子株式会社 具有鳍结构的半导体器件
CN109216460B (zh) * 2017-06-29 2022-06-21 三星电子株式会社 具有鳍结构的半导体器件
CN109872953A (zh) * 2017-12-04 2019-06-11 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
CN103681347B (zh) 2017-03-01
US20140065782A1 (en) 2014-03-06
US20140203338A1 (en) 2014-07-24
US20150243745A1 (en) 2015-08-27
KR101376451B1 (ko) 2014-03-19
US9029930B2 (en) 2015-05-12
USRE48942E1 (en) 2022-02-22
US9166010B2 (en) 2015-10-20
KR20140029094A (ko) 2014-03-10
TWI517266B (zh) 2016-01-11
US8703556B2 (en) 2014-04-22
TW201409581A (zh) 2014-03-01

Similar Documents

Publication Publication Date Title
CN103681347B (zh) 制造FinFET器件的方法
KR101670558B1 (ko) 변형 생성 채널 유전체를 포함하는 비평면 디바이스 및 그 형성방법
US10090300B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR101653464B1 (ko) 기판 격리 및 도핑되지 않은 채널을 갖는 집적 회로 구조체 및 그 형성방법
US8786019B2 (en) CMOS FinFET device
US9153670B2 (en) Semiconductor device and fabricating the same
US9269632B2 (en) FinFET device and method of manufacturing same
US9614085B2 (en) Semiconductor structure having enlarged regrowth regions and manufacturing method of the same
CN102074506B (zh) 鳍式场效应晶体管元件的制作方法
US20170186748A1 (en) FinFET Device Having Flat-Top Epitaxial Features and Method of Making the Same
TWI688044B (zh) 半導體裝置、鰭式場效電晶體裝置及其製造方法
CN106505103B (zh) 半导体装置及其制造方法
US20150340491A1 (en) Spacer chamfering for a replacement metal gate device
US10665674B2 (en) FinFET semiconductor device with germanium diffusion over silicon fins
CN106711044A (zh) 切割金属栅极的方法
KR101656952B1 (ko) Fin 피처의 구조물 및 그 제조 방법
CN103715258A (zh) 用于半导体器件的源极/漏极堆叠件压力源
CN103247535A (zh) 用于finfet器件的位错smt
US20190148528A1 (en) Epitaxial Structures for Fin-Like Field Effect Transistors
TWI556427B (zh) 緩衝層及其形成方法
US9437740B2 (en) Epitaxially forming a set of fins in a semiconductor device
US20220301936A1 (en) Nanosheet Device with Different Gate Lengths in Same Stack
US20220310456A1 (en) Nanosheet Transistors with Different Gate Materials in Same Stack and Method of Making
US9502561B1 (en) Semiconductor devices and methods of forming the same
TW202320228A (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant