CN105097807A - FinFET器件的结构和形成方法 - Google Patents

FinFET器件的结构和形成方法 Download PDF

Info

Publication number
CN105097807A
CN105097807A CN201510230956.5A CN201510230956A CN105097807A CN 105097807 A CN105097807 A CN 105097807A CN 201510230956 A CN201510230956 A CN 201510230956A CN 105097807 A CN105097807 A CN 105097807A
Authority
CN
China
Prior art keywords
fin
extension
extension fin
isolation structure
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510230956.5A
Other languages
English (en)
Other versions
CN105097807B (zh
Inventor
赖盈桦
张家铭
江宗育
陈光鑫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105097807A publication Critical patent/CN105097807A/zh
Application granted granted Critical
Publication of CN105097807B publication Critical patent/CN105097807B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的实施例提供了半导体器件,该半导体器件包括半导体衬底和位于半导体衬底上方的隔离结构。半导体器件还包括位于半导体衬底上方的第一外延鳍和第二外延鳍,并且第一外延鳍和第二外延鳍从隔离结构中突出。半导体器件还包括位于第一外延鳍和第二外延鳍上方且横跨第一外延鳍和第二外延鳍的栅极堆叠件。此外,半导体器件包括从隔离结构的顶面开始延伸的凹槽。凹槽介于第一外延鳍和第二外延鳍之间。本发明的实施例还提供了一种形成半导体器件的方法。

Description

FinFET器件的结构和形成方法
技术领域
本发明总体涉及半导体领域,更具体地,涉及FinFET器件的结构和方法。
背景技术
半导体器件用于诸如个人计算机、手机、数码照相机和其他电子设备的各种电子应用中。通过下列步骤制造半导体器件:在半导体衬底上方顺序地沉积绝缘或介电层、导电层、和半导体层,以及使用光刻和蚀刻工艺来图案化各种不同材料层以在半导体衬底上形成电路组件和元件。
在追求更高器件密度、更高性能和更低成本的过程中,半导体工业已发展进入到纳米技术工艺节点,来自制造和设计问题的挑战已导致诸如鳍状场效应晶体管(FinFET)的三维设计的发展。FinFET制造有从衬底处延伸的薄而垂直“鳍”(或鳍结构)。FinFET的沟道形成在该垂直鳍中。在鳍上方提供有栅极。FinFET的优势可包括降低短沟道效应和较高的电流。
然而,因为特征尺寸持续减小,制造工艺继续变得更难以实施。因此,在形成包括FinFET的可靠半导体器件方面存在挑战。
发明内容
根据本发明的一个方面,提供了一种半导体器件,包括:半导体衬底;隔离结构,位于半导体衬底上方;第一外延鳍和第二外延鳍,位于半导体衬底上方,其中,第一外延鳍和第二外延鳍从隔离结构中突出;栅极堆叠件,位于第一外延鳍和第二外延鳍上方且横跨第一外延鳍和第二外延鳍;以及凹槽,从隔离结构的顶面处开始延伸,其中,凹槽介于第一外延鳍和第二外延鳍之间。
优选地,栅极堆叠件包括金属材料。
优选地,第一外延鳍和第二外延鳍的材料彼此不同。
优选地,第二外延鳍包括磷化硅、碳化硅或它们的组合。
优选地,第一外延鳍包括硅锗。
优选地,凹槽的延伸方向与第一外延鳍和第二外延鳍的延伸方向基本平行。
优选地,该半导体器件还包括:第一接触件,位于半导体衬底上方且电连接至第一外延鳍;第二接触件,位于半导体衬底上方且电连接至第二外延鳍;以及介电层,位于半导体衬底上方且围绕第一接触件和第二接触件。
优选地,第一接触件填充凹槽,并且第一外延鳍包括p型外延鳍。
优选地,第二接触件填充凹槽,并且第二外延鳍包括n型外延鳍。
优选地,介电层填充凹槽。
根据本发明的另一方面,提供了一种半导体衬底,包括:半导体衬底;隔离结构,位于半导体衬底上方;n型外延鳍和p型外延鳍,位于半导体衬底上方,其中,n型外延鳍和p型外延鳍从隔离结构中突出;栅极堆叠件,位于n型外延鳍和p型外延鳍上方且横跨n型外延鳍和p型外延鳍;以及凹槽,从隔离结构的顶面处开始延伸,其中,凹槽介于n型外延鳍和p型外延鳍之间。
优选地,栅极堆叠件包括金属材料。
优选地,凹槽的延伸方向与n型外延鳍和p型外延鳍的延伸方向基本平行。
优选地,该半导体器件还包括:第一接触件,位于半导体衬底上方且电连接至p型外延鳍;第二接触件,位于半导体衬底上方且电连接至n型外延鳍;以及介电层,位于半导体衬底上方且围绕第一接触件和第二接触件。
优选地,第一接触件和第二接触件中的一个填充凹槽。
根据本发明的又一方面,提供了一种形成半导体器件的方法,包括:在半导体衬底上方形成第一鳍和第二鳍;在半导体衬底上方形成隔离结构以围绕第一鳍的下部和第二鳍的下部;在第一鳍上方和第二鳍上方形成栅极堆叠件,其中,栅极堆叠件横跨第一鳍和第二鳍;以及分别在第一鳍和第二鳍上方顺序地形成第一外延鳍和第二外延鳍,其中,在形成第一外延鳍之后和在形成第二外延鳍之前在隔离结构中形成凹槽,并且凹槽介于第一外延鳍和第二外延鳍之间。
优选地,顺序地形成第一外延鳍和第二外延鳍的步骤包括:在第二鳍上方形成第一掩模层;在形成第一掩模层之后在第一鳍上方形成第一外延鳍;去除第一掩模层;在第一外延鳍上方形成第二掩模层;在形成第二掩模层之后在第二鳍上方形成第二外延鳍;以及去除第二掩模层。
优选地,该方法还包括:在形成第一外延鳍之前使第一鳍凹进;以及在形成第二外延鳍之前使所述第二鳍凹进。
优选地,在使所述第二鳍凹进之后且形成所述第二外延鳍之前形成所述凹槽。
优选地,该方法还包括:在所述栅极堆叠件上方形成硬掩模层,其中,在形成所述凹槽的同时在所述硬掩模层中形成第二凹槽,并且所述第二凹槽与所述凹槽基本对准。
附图说明
当结合附图进行阅读时,通过下列详细的描述,可以理解本发明的各方面。应该强调的是,根据工业中的标准实践,没有按比例绘制各种部件。实际上,为了清楚地讨论,各种部件的尺寸可以被任意增加或减少。
图1A至图1L是根据一些实施例的形成半导体器件的工艺的不同阶段的截面图。
图2A是根据一些实施例的半导体器件的立体图。
图2B是根据一些实施例的半导体器件的顶视图。
图3A是根据一些实施例的半导体器件的顶视图。
图3B是根据一些实施例的半导体器件的栅极堆叠件的截面图。
图4A至图4C是根据一些实施例的半导体器件的栅极堆叠件的截面图。
具体实施方式
以下公开提供了多种不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考符号和/或字符。这种重复用于简化和清楚,并且其本身不表示所述多个实施例和/或配置之间的关系。
此外,在此可使用诸如“在…之下”、“在…下面”、“下面的”、“在…上面”、以及“上面的”等的空间关系术语,以容易的描述如图中所示的一个元件或部件与另一元件(多个元件)或部件(多个部件)的关系。除图中所示的方位之外,空间关系术语将包括使用或操作中的装置的各种不同的方位。装置可以以其他方式定位(旋转90度或在其他方位),并且通过在此使用的空间关系描述符进行相应地解释。
图1A至图1L是根据一些实施例的形成半导体器件的工艺的不同阶段的截面图。在一些实施例中,半导体器件是鳍状场效应晶体管(FinFET)器件。如图1A所示,提供了半导体衬底100。在一些实施例中,半导体衬底100是块状半导体衬底,诸如,硅晶圆。在其他一些实施例中,半导体衬底100包括诸如锗的其他元素半导体或包括化合物半导体。化合物半导体可包括碳化硅、砷化镓、砷化铟、磷化铟、其他合适的化合物半导体或它们的组合。在一些实施例中,半导体衬底100包括绝缘体上半导体(SOI)衬底。可以使用注氧隔离(SIMOX)工艺、晶圆接合工艺、其他合适的方法或它们的组合来制造SOI衬底。
如图1A所示,根据一些实施例,FinFET器件还包括从半导体衬底100处延伸出来的多个鳍104。在一些实施例中,鳍104包括硅鳍、锗鳍、其他合适的半导体鳍或它们的组合。在一些实施例中,通过蚀刻半导体衬底100而形成鳍104。如图1A所示,使用光刻工艺和蚀刻工艺在半导体衬底100中形成凹槽(或沟槽)102。因此,在各凹槽102之间形成鳍104。在一些实施例中,凹槽102是彼此平行且彼此间隔开的条形带(从FinFET器件的顶部观察)。
如图1A所示,半导体衬底100包括第一区101n和第二区101p。边界L位于第一区101n和第二区101p之间。在一些实施例中,第一区101n用于形成n型FinFET器件,而第二区101p用于形成p型FinFET器件。
如图1B所示,根据一些实施例,使一些鳍凹进以形成伪鳍104d。在一些实施例中,通过使用蚀刻工艺使鳍凹进。在一些实施例中,未凹进的鳍104n和104p分别用作NMOS器件和PMOS器件的沟道鳍。在一些实施例中,使鳍104n和104p之间的鳍凹进成为伪鳍104d,以防止在后续工艺中在鳍104n和104p之间发生短路。
如图1B所示,根据一些实施例,在半导体衬底100上方形成隔离结构106。隔离结构106围绕鳍104n和104p的下部。鳍104n和104p的上部从隔离结构106的顶面处突出。在一些实施例中,隔离结构106由介电材料制成。介电材料可包括氧化硅、氮化硅、氮氧化硅、氟掺杂硅酸盐玻璃(FSG)、低K介电材料、其他合适的材料或它们的组合。
在一些实施例中,在半导体衬底100上方沉积介电材料层。介电材料层覆盖鳍104n和104p以及伪鳍104d。在一些实施例中,使用化学汽相沉积(CVD)工艺、旋涂工艺、其他可应用的工艺或它们的组合来沉积介电材料层。在一些实施例中,实施诸如化学机械抛光(CMP)工艺的平坦化工艺以使介电材料层变薄,直到暴露出鳍104n和104p。然后,实施蚀刻工艺以去除介电材料层的一部分,使得鳍104n和104p从剩余的介电材料层中突出。因此,形成隔离结构106。
然后,在半导体衬底100上方形成栅极结构。图2A是根据一些实施例的在图1B示出的结构上方形成栅极结构之后的半导体器件的透视图。图2B是根据一些实施例的半导体器件的截面图。图1B是根据一些实施例的从图2B中的截线I-I截取得到的截面图。如图2A和图2B所示,在鳍104n和104p上方形成栅极堆叠件108。在一些实施例中,栅极堆叠件108横穿且包裹在鳍104n和104p周围。如图2B所示,栅极堆叠件108在第一区101n和第二区101p上方延伸。
栅极堆叠件108包括栅极介电层(未示出)和位于栅极介电层上方的栅电极(未示出)。在一些实施例中,栅极介电层由氧化硅、氮化硅、氮氧化硅、具有高介电常数(高K)的介电材料、其他合适的介电材料或它们的组合构成。高K介电材料的实例包括氧化铪、氧化锆、氧化铝、二氧化铪-氧化铝(alumina)合金、氧化硅铪、氮氧化硅铪、氧化钽铪、氧化钛铪、氧化锆铪等或它们的组合。在一些实施例中,栅电极包括多晶硅或金属材料,诸如,TiN、TaN、NiSi、CoSi、Mo、Cu、W、Al、Co、Zr、Pt、其他合适的材料或它们的组合。在一些实施例中,栅极介电材料层和栅电极层顺序地沉积在隔离结构106以及鳍104n和104p上方。然后,栅极介电材料层和栅电极被图案化以形成栅极堆叠件108。
在一些实施例中,在栅电极层上方沉积和图案化硬掩模层(图2A中未示出)。硬掩模层用于辅助形成栅极堆叠件108。在一些实施例中,硬掩模层由氮化硅、其他合适的材料或它们的组合制成。
如图1C和图2A所示,根据一些实施例,在鳍104n和104p、隔离结构106和栅极堆叠件108上方沉积掩模层112。在一些实施例中,硬掩模层112由氮化硅、氮氧化硅、其他合适的材料或它们的组合制成。在一些实施例中,使用CVD工艺、旋涂工艺、喷射(spraying)工艺、其他合适的工艺或它们的组合来沉积掩模层112。
如图1D所示,根据一些实施例中,在掩模层112上方形成感光层114。在一些实施例中,感光层114包括光刻胶层且使用光刻工艺形成。光刻工艺可包括光刻胶涂布(例如,旋涂)、软烘、掩模对准、曝光、曝光后烘烤、使光刻胶显影、清洗、干燥(例如,硬烘)、其他合适的工艺或它们的组合。如图1D所示,感光层114覆盖掩模层112中位于第一区101n上方的大部分。然而,掩模层112中邻近区101n和101p之间的边界L且位于第一区101n上方的部分露出。也暴露出掩模层112中位于第二区101p上方且没有被光刻胶层114覆盖的部分。
如图1E所示,根据一些实施例,去除未被感光层114覆盖的掩模层112。因此,感光层114的图案被基本转印至掩模层112中。在一些实施例中,隔离结构106的一部分在去除未被感光层114覆盖的掩模层112的同一蚀刻工艺期间也被去除。在一些实施例中,通过使用以掩模层112为蚀刻掩模的蚀刻工艺使鳍104p(参见图1D)凹进以形成凹进的鳍104p’。在一些实施例中,如图1E所示,凹进的鳍104p’和隔离结构106的顶面基本彼此共平面。在其他其他一些实施例中,凹进的鳍104p’的顶面低于隔离结构106的顶面。换言之,蚀刻鳍104p以形成其顶面比隔离结构106的顶面低的凹进的鳍104p’。在一些实施例中,在形成凹进的鳍104p的蚀刻工艺期间进一步去除隔离结构106的一部分。本发明的实施例具有诸多变型。在其他其他一些实施例中,未使鳍104p凹进。
如上所述,在去除掩模层112和使鳍凹进的蚀刻工艺期间可去除隔离结构106的未被掩模层112覆盖的上部。如图1E所示,因此在隔离结构106中被掩模层112覆盖和未被掩模层112覆盖的部分之间形成阶梯高度d1。在一些实施例中,阶梯高度d1在约1nm至约25nm的范围内。然后,去除感光层114。
如图1F所示,根据一些实施例,在凹进的鳍104p’上方选择性地生长外延材料以形成外延鳍116p。在外延鳍116的生长期间,掩模层112防止外延材料生长在鳍104n上。在一些实施例中,外延鳍116p是应变材料,诸如,硅锗(SiGe)或其他合适的材料。外延鳍116用作发生应变的源极和漏极区。因此,栅极堆叠件108下面的沟道区发生应变或受到应力以增加器件的载流子迁移率和增强器件性能。在一些实施例中,外延鳍116p的表面积大于鳍104p的表面积。可进一步降低外延鳍116p和后续形成的接触件之间的电阻。
在一些实施例中,通过使用CVD工艺(诸如,低压化学汽相沉积(LPCVD))外延生长外延鳍116以在第二区101p中形成p型FinFET器件的源极和漏极区。在一些实施例中,在约400摄氏度至约800摄氏度的温度和约1Torr至约200Torr的压力下实施外延鳍116p的外延生长。例如,将SiH4和GeH4用作为反应气体。
本发明的实施例具有诸多变型。在一些实施例中,没有使鳍104p凹进以形成凹进的鳍。在一些实施例中,在没有凹进的鳍104p上形成外延鳍116p。
之后,如图1G所示,根据一些实施例,去除掩模层112,从而暴露出鳍104n。可使用蚀刻工艺去除掩模层112。
如图1H所示,根据一些实施例,在鳍104n、隔离结构106和外延鳍116p上方沉积掩模层118。在一些实施例中,掩模层118由氮化硅、氮氧化硅、其他合适的材料或它们的组合制成。在一些实施例中,使用CVD工艺、旋涂工艺、喷射工艺、其他合适的工艺或它们的组合来沉积掩模层118。
如图1I所示,根据一些实施例,在掩模层118上方形成感光层120。在一些实施例中,感光层120包括光刻胶层且使用光刻工艺形成。光刻工艺可包括光刻胶涂布(例如,旋转涂布)、软烘、掩模对准、曝光、曝光后烘烤、使光刻胶显影、清洗、干燥(例如,硬烘)、其他合适的工艺或它们的组合。如图1I所示,感光层120覆盖掩模层118中位于第二区101p上方的大部分。然而,掩模层118中邻近区101n和101p之间的边界L以及位于第二区101p上方的一部分露出。也暴露出掩模层118中未被感光层120覆盖且位于第一区101n上方的部分。
如图1J所示,根据一些实施例,去除未被感光层120覆盖的掩模层118。因此,感光层120的图案被基本转印至掩模层118中。在一些实施例中,在去除未被感光层120覆盖的掩模层118的同一蚀刻工艺期间还去除隔离结构106的一部分。在一些实施例中,通过使用以掩模层118作为蚀刻掩模的蚀刻工艺使鳍104n凹进(参见图1I)以形成凹进的鳍104n’。在一些实施例中,如图1J所示,凹进的鳍104n’和隔离结构106的顶面基本彼此共平面。在其他一些实施例中,凹进的鳍104n’的顶面低于隔离结构106的顶面。换言之,蚀刻鳍104n以形成其顶面比隔离结构106的顶面低的凹进的鳍104n’。在一些实施例中,在使鳍104n凹进的蚀刻工艺期间进一步去除隔离结构106的一部分。本发明的实施例具有诸多变型。在其他一些实施例中,鳍104n未凹进。
如上所述,在去除掩模层118和使鳍凹进的蚀刻工艺期间可去除隔离结构106中未被掩模层118覆盖的上部。如图1J所示,根据一些实施例,形成从隔离结构106的顶面处延伸的凹槽122。凹槽122设置在邻近区101n和101p之间的边界L的区域。参见图1D和1I,可以发现,凹槽122设置在基本未被感光层114和120覆盖的区域。因此,在随后蚀刻工艺之后,因为邻近区101n和101p之间的边界L的区域未受保护,所以形成凹槽122。在一些实施例中,凹槽122沿着区域101n和101p之间的边界L延伸。之后,去除感光层120。
如图1K所示,根据一些实施例,在凹进的鳍104n’上方选择生长外延材料以形成外延鳍116n。在生长外延鳍116n期间,掩模层118防止外延材料生长在外延鳍116p上。在一些实施例中,外延鳍116n是应变材料,诸如,磷化硅(SiP)、碳化硅(SiC)和/或其他合适的材料。外延鳍116n用作发生应变的源极和漏极区。因此,栅极堆叠件118下面的沟道区发生应变或受到应力以增加器件的载流子迁移率和增强器件性能。在一些实施例中,外延鳍116n的表面积大于鳍104n的表面积。可进一步降低外延鳍116n和随后形成的接触件之间的电阻。
在一些实施例中,通过使用CVD工艺(诸如,低压化学汽相沉积(LPCVD))外延生长外延鳍116n以在第一区101n中形成n型FinFET器件的源极和漏极区。在一些实施例中,在约400摄氏度至约800摄氏度的温度和约1Torr至约200Torr的压力下实施外延鳍116n的外延生长。例如,SiH4混合有含磷气体和/或含碳气体以充当反应气体。
本发明的实施例具有诸多变型。在一些实施例中,鳍104n未凹进成为凹进的鳍。在一些实施例中,在未凹进的鳍104n上形成外延鳍116n。
在一些实施例中,外延鳍116n由不同于外延鳍116p的材料制成。在一些实施例中,外延鳍116n和外延鳍116p分别掺杂有n型掺杂剂和p型掺杂剂。在一些实施例中,外延鳍116n和116p均在其生长或形成期间掺杂有相应合适的掺杂剂。在其他其他一些实施例中,顺序地进行随后的注入工艺以使外延鳍116n和116p分别掺杂有合适的掺杂剂。
之后,如图1L所示,根据一些实施例,去除掩模层118,从而暴露出外延鳍116p。可使用蚀刻工艺去除掩模层112。如图1L所示,半导体器件包括第一鳍结构和第二鳍结构。在一些实施例中,第一鳍结构包括诸如凹进的鳍104p’的下部和诸如外延鳍116p的上部。同样地,第二鳍结构包括诸如凹进的鳍104n’的下部和诸如外延鳍116n的上部。如图1L所示,在一些实施例中,第一和第二鳍结构的上部从隔离结构106的顶面107处突出。
在一些实施例中,形成外延鳍116p之后且形成外延鳍116n之前形成凹槽122(参照图1J)。如图1L所示,凹槽122从隔离结构106的顶面107开始延伸。凹槽122具有深度d2和宽度w。在一些实施例中,深度d2在约1nm至约25nm的范围内。在一些实施例中,宽度w在约20nm至约90nm的范围内。在一些实施例中,凹槽122的纵横比在约0.05至约1.25的范围内。
本发明的实施例具有诸多变型。例如,外延鳍116n不限于在外延鳍116p之前形成。在其他一些实施例中,外延鳍116p形成在外延鳍116n之前。
图3A是根据一些实施例的半导体器件的顶视图。如图3A所示,形成互补金属氧化物半导体鳍状场效应晶体管(CMOSFinFET)器件。CMOSFinFET器件包括外延鳍116n和116p。
图3B是根据一些实施例的沿着图3A的截线B-B而截取的栅极堆叠件108的截面图。在一些实施例中,硬掩模层302形成在栅极堆叠件108上方以辅助形成栅极堆叠件108。在一些实施例中,在去除掩模层112和118和使鳍凹进的蚀刻工艺期间,还蚀刻栅极堆叠件108上方硬掩模层302。与凹槽122相似,感光层114和120均未覆盖区101n和101p之间的边界L上方的掩模层302。即,硬掩模层302中邻近区101n和101p之间的边界L的区域还未受保护。因此,减小硬掩模层302的厚度,并且形成与凹槽122相似的凹槽304。在一些实施例中,将感光层114和120的图案设计为部分重叠。因此,形成凹槽304和122。在一些实施例中,在形成凹槽122的同时形成凹槽304。
如图3B和3A所示,凹槽304沿着区101n和101p之间的边界L延伸。在一些实施例中,根据一些实施例,凹槽304和122彼此基本对准。在一些实施例中,凹槽304和122的延伸方向基本彼此平行。在一些实施例中,凹槽122和304的延伸方向与外延鳍116n和116p的延伸方向基本平行。
在一些实施例中,去除位于栅极堆叠件108上方的硬掩模层302有利于随后的工艺。例如,随后的工艺包括栅极替换工艺。在一些实施例中,去除硬掩模层302以暴露出例如由多晶硅制成的栅电极。之后,去除栅电极,并且形成金属栅电极以替换原始的栅电极。金属栅电极可包括功函层和金属填充层。
功函层被配置为向晶体管提供理想的功函以增强器件性能(包括提高的阈值电压)。在形成n型FinFET的实施例中,功函层可以为能够提供适于器件的功函数值(诸如,等于或小于约4.5eV)的n型金属。n型金属可包括金属、金属碳化物、金属氮化物或它们的组合。例如,n型金属包括钽、氮化钽或它们的组合。另一方面,在形成p型FinFET的实施例中,功函层可以为能够提供适于器件的功函数值(诸如,等于或大于约4.8eV)的p型金属。p型金属可包括金属、金属碳化物、金属氮化物、其他合适的材料或它们的组合。例如,p型金属包括钛、氮化钛、其他合适的材料或它们的组合。在一些实施例中,金属填充层由铝、钨、金、铂、钴、其他合适的金属或它们的组合制成。
因为感光层114和120的图案部分地重叠,所以蚀刻邻近区101n和101p之间的边界L的区域以形成凹槽304。在其他其他一些情况下,如果感光层114和120的图案未重叠,硬掩模层302可具有邻近区101n和101p之间的边界L的突出部分。因此,较难去除硬掩模层302。可能需要进行额外的蚀刻操作和/或平坦化工艺操作来去除硬掩模层302的突出部分。因此可增加制造成本和制造时间。额外的蚀刻操作和/或平坦化操作可损坏半导体器件的元件。
图4A至图4C是根据一些实施例的半导体器件的栅极堆叠件的截面图。如图4A所示,在半导体衬底100上方形成接触件404n和404p以分别电连接至外延鳍116n和116p。接触件404n和404p用作半导体器件的源极/漏极接触件。
在一些实施例中,在隔离结构106和外延鳍116n和116p上方形成介电层402。在一些实施例中,介电层402由氧化硅、氮氧化硅、硼硅酸盐玻璃(BSG)、磷硅酸玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氟化硅酸盐玻璃(FSG)、低k材料、多孔介电材料、其他合适的材料或它们的组合构成。在一些实施例中,使用CVD工艺、旋涂工艺、PVD工艺、其他合适的工艺或它们的组合沉积介电层402。之后,图案化介电层402以形成暴露出外延鳍116n和116p的开口。在一些实施例中,光刻工艺和蚀刻工艺用于图案化介电层402。在一些实施例中,在图案化介电层402之前进行平坦化工艺以提供具有基本平坦的表面的介电层402。平坦化工艺可包括CMP工艺。在一些实施例中,在介电层402之前沉积蚀刻停止层(未示出)。蚀刻停止层包括例如氮化硅、氮氧化硅或其他合适的材料。
在一些实施例中,在介电层402和外延鳍116n和116p上方沉积导电层。之后,图案化导电层以形成接触件404n和404p。在一些实施例中,导电层包括铜、钨、铝、镍、钛、铂、其他合适的导电材料、或它们的组合。在一些实施例中,使用PVD工艺、电镀工艺、CVD工艺、其他合适的工艺或它们的组合来沉积导电层。在一些实施例中,进行诸如CMP工艺的平坦化工艺以去除介电层402的开口以外的沉积的导电层。因此,形成被介电层402围绕的接触件404n和404p。
本发明的实施例具有诸多变型。在一些实施例中,形成接触件404n和404p之前,分别在外延鳍116n和116p上形成金属硅化物区(未示出)。因此,可进一步降低接触件和外延鳍之间的电阻。
如图4A所示,根据一些实施例,接触件404n填充凹槽122。在一些实施例中,接触件404n与n型外延鳍(外延鳍116n)的源极/漏极区电接触,而接触件404p与p型外延鳍(外延鳍116p)的源极/漏极区电接触。
本发明的实施例具有诸多变型。如图4B所示,根据一些实施例,接触件404p填充凹槽122。在其他一些实施例中,接触件404n和404p均未填充凹槽122。如图4C所示,介电层402填充凹槽122。
本发明提供了关于CMOSFinFET器件的结构和形成方法的实施例。图案化的掩模层用于辅助形成n型外延鳍和p型外延鳍。图案化的掩模层的图案部分重叠。因此,在多次蚀刻工艺之后,在CMOSFinFET器件的n型和p型外延鳍之间形成凹槽,并且在该CMOSFinFET器件的栅极堆叠件上方的硬掩模层上形成另一个凹槽。由于硬掩模层上的凹槽,随后去除硬掩模层的工艺变得更简单。因此,减少制造成本和时间。提高了器件性能。
根据一些实施例,提供了一种半导体器件。半导体器件包括半导体衬底和位于半导体沉底上方的隔离结构。半导体器件还包括位于半导体衬底上方的第一外延鳍和第二外延鳍,第一外延鳍和第二外延鳍从隔离结构中突出。半导体器件还包括位于第一外延鳍和第二外延鳍上方且横跨第一外延鳍和第二外延鳍的栅极堆叠件。此外,半导体器件包括从隔离结构的顶面开始延伸的凹槽。凹槽介于第一外延鳍和第二外延鳍之间。
根据一些实施例,提供了一种半导体器件。半导体器件包括半导体衬底和位于半导体衬底上方的隔离结构。半导体器件还包括位于半导体衬底上方的n型外延鳍和p型外延鳍。n型外延鳍和p型外延鳍从隔离结构中突出。半导体器件还包括位于n型外延鳍和p型外延鳍上方且横跨n型外延鳍和p型外延鳍的栅极堆叠件。此外,半导体器件包括从隔离结构的顶面处开始延伸的凹槽。凹槽介于n型外延鳍和p型外延鳍之间。
根据一些实施例,提供了一种形成半导体器件的方法。该方法包括在半导体衬底上方形成第一鳍和第二鳍。该方法还包括在半导体衬底上方形成隔离结构以围绕第一鳍和第二鳍的下部。该方法还包括在第一鳍和第二鳍上方形成栅极堆叠件,栅极堆叠件横跨第一鳍和第二鳍。此外,该方法包括分别在第一鳍和第二鳍上方顺序地形成第一外延鳍和第二外延鳍。形成第一外延鳍之后和形成第二外延鳍之前,在隔离结构中形成凹槽。凹槽介于第一外延鳍和第二外延鳍之间。
上面论述了若干实施例的部件,使得本领域的普通技术人员可以更好地理解本发明的各个方面。本领域的技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域的技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、更换以及改变。

Claims (10)

1.一种半导体器件,包括:
半导体衬底;
隔离结构,位于所述半导体衬底上方;
第一外延鳍和第二外延鳍,位于所述半导体衬底上方,其中,所述第一外延鳍和所述第二外延鳍从所述隔离结构中突出;
栅极堆叠件,位于所述第一外延鳍和所述第二外延鳍上方且横跨所述第一外延鳍和所述第二外延鳍;以及
凹槽,从所述隔离结构的顶面处开始延伸,其中,所述凹槽介于所述第一外延鳍和所述第二外延鳍之间。
2.根据权利要求1所述的半导体器件,其中,所述栅极堆叠件包括金属材料。
3.根据权利要求1所述的半导体器件,其中,所述第一外延鳍和所述第二外延鳍的材料彼此不同。
4.根据权利要求1所述的半导体器件,其中,所述第二外延鳍包括磷化硅、碳化硅或它们的组合。
5.根据权利要求1所述的半导体器件,其中,所述第一外延鳍包括硅锗。
6.根据权利要求1所述的半导体器件,其中,所述凹槽的延伸方向与所述第一外延鳍和所述第二外延鳍的延伸方向基本平行。
7.一种半导体衬底,包括:
半导体衬底;
隔离结构,位于所述半导体衬底上方;
n型外延鳍和p型外延鳍,位于所述半导体衬底上方,其中,所述n型外延鳍和所述p型外延鳍从所述隔离结构中突出;
栅极堆叠件,位于所述n型外延鳍和所述p型外延鳍上方且横跨所述n型外延鳍和所述p型外延鳍;以及
凹槽,从所述隔离结构的顶面处开始延伸,其中,所述凹槽介于所述n型外延鳍和所述p型外延鳍之间。
8.根据权利要求7所述的半导体器件,其中,所述栅极堆叠件包括金属材料。
9.一种形成半导体器件的方法,包括:
在半导体衬底上方形成第一鳍和第二鳍;
在所述半导体衬底上方形成隔离结构以围绕所述第一鳍的下部和所述第二鳍的下部;
在所述第一鳍上方和所述第二鳍上方形成栅极堆叠件,其中,所述栅极堆叠件横跨所述第一鳍和所述第二鳍;以及
分别在所述第一鳍和所述第二鳍上方顺序地形成第一外延鳍和第二外延鳍,其中,在形成所述第一外延鳍之后和在形成所述第二外延鳍之前在所述隔离结构中形成凹槽,并且所述凹槽介于所述第一外延鳍和所述第二外延鳍之间。
10.根据权利要求9所述的形成半导体器件的方法,其中,顺序地形成所述第一外延鳍和所述第二外延鳍的所述步骤包括:
在所述第二鳍上方形成第一掩模层;
在形成所述第一掩模层之后在所述第一鳍上方形成所述第一外延鳍;
去除所述第一掩模层;
在所述第一外延鳍上方形成第二掩模层;
在形成所述第二掩模层之后在所述第二鳍上方形成所述第二外延鳍;以及
去除所述第二掩模层。
CN201510230956.5A 2014-05-09 2015-05-08 FinFET器件的结构和形成方法 Active CN105097807B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/273,970 2014-05-09
US14/273,970 US9257505B2 (en) 2014-05-09 2014-05-09 Structures and formation methods of finFET device

Publications (2)

Publication Number Publication Date
CN105097807A true CN105097807A (zh) 2015-11-25
CN105097807B CN105097807B (zh) 2018-04-10

Family

ID=54368545

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510230956.5A Active CN105097807B (zh) 2014-05-09 2015-05-08 FinFET器件的结构和形成方法

Country Status (3)

Country Link
US (1) US9257505B2 (zh)
KR (1) KR101688699B1 (zh)
CN (1) CN105097807B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104900521B (zh) * 2014-03-04 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10475886B2 (en) * 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
KR20170015705A (ko) * 2015-07-30 2017-02-09 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN106531793B (zh) * 2015-09-11 2021-06-15 联华电子股份有限公司 具有外延层的半导体结构
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
US9704752B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9704751B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
TWI687980B (zh) 2016-03-22 2020-03-11 聯華電子股份有限公司 半導體元件及其製作方法
US9911656B1 (en) 2016-08-19 2018-03-06 International Business Machines Corporation Wimpy device by selective laser annealing
KR102367493B1 (ko) * 2017-03-06 2022-02-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10276445B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10283639B2 (en) * 2017-09-28 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10510894B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
KR102553251B1 (ko) 2018-04-06 2023-07-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10916477B2 (en) 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US11227923B2 (en) * 2020-02-21 2022-01-18 International Business Machines Corporation Wrap around contact process margin improvement with early contact cut
US20210351300A1 (en) * 2020-05-07 2021-11-11 Intel Corporation Self-aligned gate endcap (sage) architectures with vertical sidewalls
KR20220087229A (ko) 2020-12-17 2022-06-24 삼성전자주식회사 반도체 소자
US20230197818A1 (en) * 2021-12-22 2023-06-22 Intel Corporation Formation of cavity spacer and source-drain epitaxial growth for scaling of gate-all-around transistors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130228866A1 (en) * 2012-03-01 2013-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Manufacturing and Design Methods Thereof
US20140070316A1 (en) * 2012-09-13 2014-03-13 International Business Machines Corporation Replacement source/drain for 3d cmos transistors
CN103681347A (zh) * 2012-08-30 2014-03-26 台湾积体电路制造股份有限公司 制造FinFET器件的方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100898659B1 (ko) * 2006-08-09 2009-05-22 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
US8785286B2 (en) * 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8659032B2 (en) 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8936986B2 (en) * 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
KR102055379B1 (ko) * 2013-08-08 2019-12-13 삼성전자 주식회사 트라이-게이트를 포함하는 반도체 소자 및 그 제조 방법
US9041062B2 (en) * 2013-09-19 2015-05-26 International Business Machines Corporation Silicon-on-nothing FinFETs

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130228866A1 (en) * 2012-03-01 2013-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Manufacturing and Design Methods Thereof
CN103681347A (zh) * 2012-08-30 2014-03-26 台湾积体电路制造股份有限公司 制造FinFET器件的方法
US20140070316A1 (en) * 2012-09-13 2014-03-13 International Business Machines Corporation Replacement source/drain for 3d cmos transistors

Also Published As

Publication number Publication date
KR20150128532A (ko) 2015-11-18
US20150325646A1 (en) 2015-11-12
KR101688699B1 (ko) 2017-01-02
CN105097807B (zh) 2018-04-10
US9257505B2 (en) 2016-02-09

Similar Documents

Publication Publication Date Title
CN105097807A (zh) FinFET器件的结构和形成方法
US10971601B2 (en) Replacement metal gate structures
US10903316B2 (en) Radio frequency switches with air gap structures
TWI617034B (zh) 半導體裝置及其製造方法
CN104835838B (zh) 具有不同宽度的栅极结构及其制造方法
US10418367B2 (en) Method for fabricating air gap adjacent to two sides of bit line
US9337195B2 (en) Semiconductor devices and methods of manufacture thereof
CN104867967B (zh) 半导体器件及其制造方法
CN109727854B (zh) 半导体装置及其形成方法
US9508716B2 (en) Methods of manufacturing a semiconductor device
CN105633083A (zh) 具有可控端到端临界尺寸的鳍式场效应晶体管(FinFET)器件及其形成方法
US10319679B2 (en) Semiconductor device
CN106158966A (zh) 具有不齐平的栅极结构的半导体结构及其形成方法
TW201830578A (zh) 接觸結構製造方法
TWI713147B (zh) 半導體裝置的形成方法
CN105428394A (zh) 鳍部件的结构及其制造方法
TW201923908A (zh) 鰭式場效電晶體裝置結構的製造方法
US11908896B2 (en) Integrated circuit structure with non-gated well tap cell
CN102299177B (zh) 一种接触的制造方法以及具有该接触的半导体器件
CN111200017B (zh) 半导体结构及其形成方法
CN104051511A (zh) 半导体器件及其制造方法
TW201419548A (zh) 半導體裝置的製造方法以及半導體裝置
CN107785259B (zh) 一种半导体器件及制备方法、电子装置
US20200161450A1 (en) Semiconductor device and method for manufacturing the same
CN116847725A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant