JP2002299608A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JP2002299608A
JP2002299608A JP2001095899A JP2001095899A JP2002299608A JP 2002299608 A JP2002299608 A JP 2002299608A JP 2001095899 A JP2001095899 A JP 2001095899A JP 2001095899 A JP2001095899 A JP 2001095899A JP 2002299608 A JP2002299608 A JP 2002299608A
Authority
JP
Japan
Prior art keywords
insulating film
gate
semiconductor substrate
gate electrode
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001095899A
Other languages
English (en)
Other versions
JP3940565B2 (ja
Inventor
Satoshi Matsuda
聡 松田
Atsushi Azuma
篤志 東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2001095899A priority Critical patent/JP3940565B2/ja
Priority to US10/101,950 priority patent/US6642581B2/en
Publication of JP2002299608A publication Critical patent/JP2002299608A/ja
Priority to US10/602,066 priority patent/US20040084731A1/en
Priority to US11/727,735 priority patent/US7288470B2/en
Application granted granted Critical
Publication of JP3940565B2 publication Critical patent/JP3940565B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

(57)【要約】 【課題】 ショートチャネル特性を改善しゲートエッジ
の信頼性を確保することもしくは有効にショートチャネ
ル効果を抑制しつつ、チャネルストッパーによるしきい
値上昇を抑制することが可能な絶縁膜にゲート電極溝を
形成し此の溝にゲート電極を埋め込むゲート電極構造及
びその製造方法を提供する。 【解決手段】 絶縁膜にゲート電極溝を形成し此の溝に
ゲート電極を埋め込むダマシンゲートトランジスタにお
いて、チャネルを形成するシリコン基板1表面を酸化
し、且つダミーゲートをポリシリコンなどで形成し、そ
の後、このダミーゲート直下のシリコン酸化膜をサイド
エッチングし、このサイドエッチング溝にシリコン窒化
膜(SiN)などの側壁絶縁膜を埋め込んでゲート電極
7下に入り込んだ側壁絶縁膜8の突出部8′を形成す
る。チャネル長が短くなってもパンチスルーがし難くな
るようにショートチャネル特性を改善する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置に関
し、とくに、絶縁膜にゲート電極溝を形成し此の溝にゲ
ート電極を埋め込むダマシンゲートトランジスタのゲー
ト電極構造及びその製造方法に関するものである。
【0002】
【従来の技術】ゲート電極にメタル材料を用いるトラン
ジスタは、ゲート電極にポリシリコンを用いたトランジ
スタのように、ゲートの空乏化やゲート抵抗による電圧
降下等によるトランジスタ特性の劣化が無いという理由
によって、次世代のトランジスタ技術として有望視され
ている。しかし、W、AlもしくはCuなどと言ったメ
タル材料は、高温の熱工程に耐えられないため通常のト
ランジスタ形成プロセスのようにゲート電極加工後にソ
ース/ドレイン領域形成のための活性化温度を通すこと
ができない。この問題を解決する為に一旦形成したダミ
ーゲートをマスクにして不純物をイオン注入し、これを
活性化することによりソース/ドレイン領域を形成し、
その後、ダミーゲートを除去してから、チャネル領域を
形成するためのイオン注入を行い、さらにゲート絶縁膜
を再形成してからメタル電極を埋め込むダマシンメタル
ゲート又はリプレースメントゲートトランジスタが注目
されている(International Elect
ron Devices Meeting Techn
ical Digestの1998版 pp.777−
780、pp.785−788参照)。
【0003】しかし、ゲート電極に金属を用いる場合に
は、ポリシリコンのようにイオン注入によって仕事関数
を調整することが難しいためCMOSトランジスタ用ゲ
ート電極に用いるメタル材料の仕事関数は、シリコンの
バンドギャップの中心付近に位置する仕事関数を持つ、
例えば、TiNのような材料を選ばざるを得ない。この
ため低消費電力化のための次世代トランジスタに要求さ
れる低電圧/低しきい値を実現することが難しくなる。
そこで、しきい値を下げるためにチャネル表面に半導体
基板と逆導電型の不純物を打ち込む埋め込みチャネル構
造をとる必要がある。ところが、埋め込みチャネル型の
トランジスタは、表面チャネル型のトランジスタのしき
い値調整に用いる不純物と反対導電型の不純物を打ち込
んでいるためソース/ドレイン間のパンチスルーが起こ
り易く、ショートチャネル特性が劣化し易いという特性
を持っている。
【0004】これに対して図19(a)に示すようにダ
ミーゲート形成後にパンチスルーストッパー用不純物を
斜め方向からイオン注入することによってショートチャ
ネル特性を改善する、いわゆるポケット(Pocke
t)又はハロ(Halo)構造が提案されている(In
ternational Electron Devi
ces Meeting Technical Dig
estの1998版 pp.789−792等参照)。
この構造のトランジスタを用いれば、図19(b)、図
19(c)に示すようにチャネル長が短い場合には長い
場合に比べてチャネル部分の不純物濃度が高くなり、ソ
ース/ドレイン間のパンチスルーを十分抑えることがで
きる。
【0005】
【発明が解決しようとする課題】ところが、この構造を
用いると高濃度のソース/ドレイン領域とHalo構造
の高濃度領域の接合ができてしまうのでソース/ドレイ
ン領域と半導体基板間の接合容量が増加し、また接合リ
ーク電流が増加してしまうという問題が生じてしまう。
また前述のように、メタルゲートを用いたトランジスタ
は、次世代トランジスタ技術として有望視されている
が、通常のトランジスタ形成プロセスのようにゲート加
工後にソース/ドレイン領域形成の活性化温度を通すこ
とができない。この問題を解決する為に前述のメタル電
極材を埋め込むダマシンメタルゲートが注目されてい
る。しかし、メタル電極の仕事関数がシリコンのミッド
ギャップ付近に存在するためショートチャネル特性の悪
い埋め込みチャネル構造を取らなければならなくなる。
また、一旦形成したダミーゲート及びその下の酸化膜を
除去してゲート絶縁膜を再形成し電極材を埋め込むた
め、ゲートエッジの信頼性に問題が生じる可能性が高
い。本発明は、このような事情によりなされたものであ
り、ショートチャネル特性を改善しゲートエッジの信頼
性を確保することもしくは有効にショートチャネル効果
を抑制しつつ、チャネルストッパーによるしきい値上昇
を抑制することが可能な、絶縁膜にゲート電極溝を形成
し此の溝にゲート電極を埋め込むダマシンゲートトラン
ジスタのゲート電極構造及びその製造方法を提供する。
【0006】
【課題を解決するための手段】本発明は、絶縁膜にゲー
ト電極溝を形成し此の溝にゲート電極を埋め込むダマシ
ンゲートトランジスタにおいて、チャネルを形成するシ
リコン基板表面を酸化し、且つダミーゲートをポリシリ
コンなどで形成し、その後、このダミーゲート直下のシ
リコン酸化膜をサイドエッチングし、このサイドエッチ
ング溝にシリコン窒化膜(SiN)などの側壁絶縁膜を
埋め込んでゲート電極下に入り込んだ側壁絶縁膜の突出
部を形成し、次いで、ダミーゲート及びその下の酸化膜
を除去した後にこの側壁絶縁膜が残るようにし、その後
しきい値調整用不純物ドーピングを行うことによってチ
ャネル長が短くなってもパンチスルーがし難くなるよう
にショートチャネル特性を改善しゲートエッジの信頼性
を確保することを特徴としている。また、しきい値調整
用不純物ドーピングとともにパンチスルーストッパー領
域形成用不純物ドーピングを自己整合的にエクステンシ
ョン領域の直下に行うことにより、有効にショートチャ
ネル効果を抑制しつつ、チャネルストッパーによるしき
い値上昇を抑制することを特徴としている。
【0007】すなわち、本発明の半導体装置は、半導体
基板と、前記半導体基板に互いに離隔して配置され、且
つ対向する部分にエクステンション領域が形成されたソ
ース/ドレイン領域と、前記半導体基板主面上に形成さ
れ、且つ前記エクステンション及びソース/ドレイン領
域間の上に配置されたゲート絶縁膜と、前記ゲート絶縁
膜上に形成されたゲート電極と、前記半導体基板主面上
に形成され、且つ前記ゲート電極側面を被覆するゲート
側壁絶縁膜と、前記半導体基板に形成され、且つ前記ゲ
ート電極下に配置されたチャネル領域とを備え、前記ゲ
ート側壁絶縁膜は、その下部に前記ゲート電極下部の内
側方向に食い込む突出部を有し、前記チャネル領域は、
実質的にこの突出部間のゲート電極下にのみ形成されて
いることを特徴としている。
【0008】また、本発明の半導体装置は、半導体基板
と、前記半導体基板に互いに離隔して配置され、且つ対
向する部分にエクステンション領域が形成されたソース
/ドレイン領域と、前記半導体基板主面上に形成され、
且つ前記エクステンション及びソース/ドレイン領域間
の上に配置されたゲート絶縁膜と、前記ゲート絶縁膜上
に形成されたゲート電極と、前記半導体基板主面上に形
成され、且つ前記ゲート電極側面を被覆するゲート側壁
絶縁膜と、前記半導体基板に形成され、且つ前記ゲート
電極下に配置されたチャネル領域と、前記半導体基板に
形成され、且つ前記ゲート電極下及び前記チャネル領域
下に配置されたパンチスルーストッパー領域とを備え、
前記ゲート側壁絶縁膜は、その下部に前記ゲート電極下
部の内側方向に食い込む突出部を有し、前記パンチスル
ーストッパー領域は、実質的に前記突出部直下に存在す
る不純物ピーク濃度の前記半導体基板主面からの深さが
前記チャネル領域直下の不純物ピーク濃度の前記半導体
基板主面からの深さより浅いことを特徴としている。
【0009】本発明の半導体装置の製造方法は、半導体
基板上に第1の材料層を形成する工程と、前記第1の材
料層上に第2の材料層を形成し、この第2の材料層をパ
ターニングしてゲート電極形成領域に前記第2の材料層
から構成されたゲート電極と同じ形の第1のパターンを
形成する工程と、前記第1の材料層をパターニングし
て、前記第1のパターンの下の前記第1の材料層を前記
第1のパターンの両端から所定の距離エッチング除去し
て、前記第1のパターンの下に前記第1の材料層から構
成された前記第1のパターンより幅の狭い第2のパター
ンを形成する工程と、前記第1及び第2のパターンをマ
スクにして前記半導体基板に不純物をイオン注入してエ
クステンション領域を形成する工程と、前記第1及び第
2のパターンを被覆するように第1の絶縁膜を前記半導
体基板上に堆積させる工程と、前記第1の絶縁膜を異方
性エッチングによりパターニングして前記第1の絶縁膜
から構成され、底面が前記第1のパターンの底面の下に
延在するように突出した突出部を有する側壁絶縁膜を前
記第2のパターンの両側に形成する工程と、前記半導体
基板に、前記第2のパターン及び前記側壁絶縁膜をマス
クにして、不純物をイオン注入してソース/ドレイン領
域を形成する工程と、前記ソース/ドレイン領域を形成
してから、前記第1及び第2のパターン、前記側壁絶縁
膜を被覆するように第2の絶縁膜を前記半導体基板上に
堆積させる工程と、前記第2の絶縁膜の表面を研磨して
前記第2のパターン表面を露出させる工程と、前記第1
及び第2のパターンを除去して、両側を前記側壁絶縁膜
に囲まれ、且つ前記側壁絶縁膜から突出する前記突出部
が対向しているゲート電極埋め込み用溝を形成する工程
と、前記ゲート電極埋め込み用溝の部分から半導体基板
に不純物をイオン注入して前記ゲート電極埋め込み用溝
の下に不純物領域を形成する工程と、前記ゲート電極埋
め込み用溝の半導体基板表面にゲート絶縁膜を形成する
工程と、前記ゲート電極埋め込み用溝にゲート電極を埋
め込む工程とを具備したことを特徴としている。前記不
純物領域は、前記半導体基板の導電型とは反対導電型の
埋め込み型チャネル領域として用いられる不純物領域で
あるようにしても良い。前記不純物領域は、前記半導体
基板の導電型と同じ導電型のパンチスルーストッパー用
不純物領域であるようにしても良い。前記パンチスルー
ストッパー用不純物領域は、前記突起部直下ではその不
純物ピーク濃度が前記ゲート絶縁膜直下の不純物ピーク
濃度より浅く形成されているようにしても良い。
【0010】
【発明の実施の形態】以下、図面を参照して発明の実施
の形態を説明する。まず、図1乃至図5を参照して第1
の実施例を説明する。図1は、完成された半導体装置の
断面図、図2乃至図5は、この半導体装置の製造工程断
面図である。シリコンなど例えばP型の半導体基板1
は、STI(Shallow Trench Isolation)などの素子分離
領域2により素子分離された素子領域が形成されてい
る。この実施例では素子領域に、例えば、N型のMOS
FETが形成される。素子領域には半導体基板1の素子
領域の表面領域に互いに離隔して配置され、且つ対向す
る部分に例えばN型のエクステンション領域6が形成さ
れた、例えば、N型のソース/ドレイン領域3(以下、
この構造をSDE領域(Source-Drain-Extension)とい
う)が形成されている。ソース/ドレイン領域3間の上
に半導体基板1の表面を被覆するようにシリコンの熱酸
化膜などからなるゲート絶縁膜5が形成されている。
【0011】また、ゲート絶縁膜5の上にW、Al、C
u、TiN(チタンナイトライド)などの金属もしくは
合金などから構成されたゲート電極7が形成されてい
る。ゲート電極7の両側面にはシリコン窒化膜などから
なる側壁絶縁膜8が形成されている。ゲート電極7の幅
(すなわち、トランジスタの構造上ではいわゆるゲート
長という)は、ゲート絶縁膜5の幅より大きくなってい
る。つまりゲート絶縁膜5は、ゲート電極7のほぼ中央
に配置され、両者が重なったときに、ゲート電極7と半
導体基板1の表面との間にはゲート絶縁膜のない空間が
形成されている。そして、この空間には側壁絶縁膜8が
延在して形成された突出部8′が充填されている。この
突出部8′は、ゲート絶縁膜より厚く形成されている。
ゲート電極7は、その底面の両側辺領域が突出部8′に
載っている構造になっている。この突出部8′は、テラ
ス型スペーサと通称する。エクステンション領域6間に
あってゲート絶縁膜5の下にはエクステンション領域6
に接触していない埋め込みチャネル領域4が形成されて
いる。
【0012】半導体基板1にはゲート電極7の表面を露
出するようにゲート構造を埋め込んだシリコン酸化膜な
どの層間絶縁膜9がCVD法などにより形成されてい
る。さらに、ゲート電極7の表面を被覆するように層間
絶縁膜9の上にシリコン酸化膜などの層間絶縁膜10が
形成されている。層間絶縁膜9、10を貫通してソース
/ドレイン領域3のそれぞれに電気的に接続されたタン
グステンなどの材料を埋め込んだ接続配線11、11′
が形成され、その接続部は、層間絶縁膜10の表面に露
出している。この実施例の半導体装置は、チャネル領域
のこのような構成により、埋め込みチャネル領域とエク
ステンション領域の間に反転し難い領域ができるのでチ
ャネル長が短くなってもパンチスルーをなし難くするこ
とができる。このように、この実施例ではショートチャ
ネル特性を改善しゲートエッジの信頼性を確保すること
ができる。
【0013】次に、この実施例の半導体装置の製造工程
を以下に説明する。まず、半導体基板1上にシリコン酸
化膜を構成材料とする素子分離領域2を形成し、続いて
シリコン酸化膜などの絶縁膜12を形成する。この絶縁
膜12の膜厚は、後に示すテラス型スペーサ(突出部)
8′の高さを決めるものであり、ゲート絶縁膜よりも厚
く、且つイオン注入でドーピングされる不純物の深さの
差を十分取れる膜厚であれば良い。この絶縁膜12とし
ては、例えば、5nmから30nm厚程度のシリコン熱
酸化膜が望ましい。また、この絶縁膜12は、後にサイ
ドエッチング溝を形成するときに後述するゲートダミー
パターンを形成する材料と半導体基板とのエッチング選
択比が取れるものであればよく、例えば、シリコン窒化
膜(SiN)などを使用しても良い(図2(a))。次
に、ゲートダミーパターンを形成する材料、例えばポリ
シリコン膜13を200nm厚程度堆積させる。この材
料も後に示すゲート埋め込み用溝を形成するときに周り
の材料とエッチング選択比が取れる材料で置き換えても
良い(図2(b))。次に、フォトレジスト工程、RI
E(Reactive Ion Etching)工程
等を用いてポリシリコン膜13からゲートダミーパター
ン14をパターン形成する。このとき、先に形成した絶
縁膜12の途中または全部を同時にエッチング除去して
しまっても良い(図2(c))。
【0014】次に、絶縁膜12をエッチングしてゲート
ダミーパターン14の直下にサイドエッチング溝15を
形成する。絶縁膜12がシリコン酸化物で形成されてい
る場合はふっ酸等の酸化膜エッチング液を用いて横方向
にもエッチングできる等方的エッチングを行う。サイド
エッチング溝15の横方向の長さは上記エッチング時間
を調整することによって容易に調整することが可能であ
る(図2(d))。次に、ゲートダミーパターン14を
マスクにエクステンション領域形成用のリンや砒素など
(N型のMOSFETの場合)の不純物をイオン注入し
てエクステンション領域6を形成する。この時、イオン
注入のスクリーニング酸化膜としてサイドエッチング溝
15が埋まってしまわない程度、例えば、サイドエッチ
ング溝15の高さが10nmであると2nm程度の膜厚
の酸化膜を形成しておいても良い(図3(a))。
【0015】次に、ゲートダミーパターン14に側壁絶
縁膜8を形成する。側壁絶縁膜8を形成する材質は、サ
イドエッチング溝15を埋め込めるようなもの、例え
ば、ステップカバレッジの良好なLPCVD法によるシ
リコン窒化膜(SiN膜)などが適当である。SiN膜
等を全面に形成した後、RIE(Reactive Ion Etching)
でエッチバックを行い、ゲートダミーパターン14の横
に側壁絶縁膜8を形成すれば良い。サイドエッチング溝
15にもSiN膜が入り込んで側壁絶縁膜8の突出部
(テラス型スペーサともいう)8′を形成する(図3
(b))。次に、ゲートダミーパターン14及び側壁絶
縁膜8をマスクにしてリン、砒素等(N型のMOSFE
Tの場合)の不純物をイオン注入し高温の活性化を行っ
てソース/ドレイン領域3を形成する(図4(a))。
【0016】次に、シリコン酸化膜などの層間絶縁膜9
を堆積させてから、この表面をCMP(Chemical Mechan
ical Polishing) 等の技術で平坦化し、ゲートダミーパ
ターン14の表面を露出させる(図4(b))。次に、
ゲートダミーパターンを選択的に除去してゲート埋め込
み用溝16を形成する。ゲートダミーパターンがポリシ
リコンで形成されている場合、CF4 等のエッチングガ
スを用いたCDE(Chemical Dry Etching)やふっ酸とH
NO3 の混合酸を用いて選択的な除去が可能である。混
合酸を用いた場合は、ふっ酸の比を制御することにより
酸化膜とポリシリコンの選択比を適宜調整することがで
きる。その後、次に、最初に形成した絶縁膜12を除去
してテラス型スペーサ8′を形成する。この時、側壁絶
縁膜8がシリコン窒化膜で形成されていれば、絶縁膜1
2をふっ酸で除去することができる(図4(c))。
【0017】次に、N型のMOSFETの場合、リン、
砒素などのチャネル領域形成用不純物をイオン注入して
埋め込みチャネル領域4を形成する。この時、イオン注
入の加速エネルギーを調整することによってゲート埋め
込み用溝16中の特定の領域に存在する半導体基板1の
中にのみ不純物をドーピングすることが可能である。例
えば、ダマシンメタルゲートの埋め込みチャネル領域4
を形成する場合、パンチスルーストッパ−用の不純物を
深く、埋め込みチャネル領域形成用の不純物を浅くイオ
ン注入する(図5(a))。次に、ゲート絶縁膜5とゲ
ート電極7を形成する。ゲート絶縁膜5として半導体基
板1を酸化して形成する熱酸化膜を用いる場合は、テラ
ス型スペーサ8′よりも薄くなるようにする。例えば、
テラス型スペーサ8′の段差が保持されるので構わな
い。またダマシンメタルゲート構造の場合、ゲート電極
7は、TiNとWの積層構造を形成し、CMP等で表面
を平坦化する。同様の工程を用いてゲート電極材にポリ
シリコンを用いて不純物ドーピングを行っても良い(図
5(b))。次に、絶縁膜9上に絶縁膜10を重ね、さ
らに、これにコンタクト孔を形成してソース/ドレイン
領域3に接合される接続配線11、11′を形成する
(図1参照)。
【0018】この実施例では、サイドエッチング溝にシ
リコン窒化膜などの側壁絶縁膜を埋め込んでゲート電極
下に入り込んだ側壁絶縁膜の突出部を形成し、その後、
ダミーゲート及びその下の酸化膜を除去した後に、この
側壁絶縁膜が残るようにし、さらに、しきい値調整用不
純物ドーピングを行うことによってチャネル長が短くな
ってもパンチスルーが難しくなるようにショートチャネ
ル特性を改善してゲートエッジの信頼性を確保すること
ができる。
【0019】次に、図6乃至図10を参照して第2の実
施例を説明する。図6は、半導体装置の断面図、図7乃
至図10は、図6の半導体装置の製造工程断面図であ
る。シリコンなど例えばP型の半導体基板201は、S
TIなどの素子分離領域102により素子分離された素
子領域が形成されている。この素子領域には、半導体基
板201の素子領域の表面領域に互いに離隔して配置さ
れ、且つ対向する部分に例えばN型のエクステンション
領域206が形成された例えばN型のソース/ドレイン
領域203(SDE領域)が形成されている。ソース/
ドレイン領域203間の上に半導体基板201の表面を
被覆するようにシリコンの熱酸化膜などからなるゲート
絶縁膜205が形成されている。また、ゲート絶縁膜2
05の上にW、Al、Cu、TiNなどの金属もしくは
合金などから構成されたゲート電極207が形成されて
いる。ゲート電極207の両側面には側壁絶縁膜208
が形成されている。この側壁絶縁膜208は、ゲート電
極207に接する部分215と、その外側を被覆する部
分217と、ゲート電極207の直下にあり、ゲート絶
縁膜205の両側辺領域に形成された突出部208′と
から構成されている。突出部208′は、ゲート絶縁膜
205を加熱して形成されたものであり、ゲート絶縁膜
205より厚く構成されている。
【0020】ゲート電極207の幅(ゲート長)は、ゲ
ート絶縁膜205(突出部208′は含まない)の幅よ
り大きくなっている。つまりゲート絶縁膜205は、ゲ
ート電極207のほぼ中央に配置され、両者が重なった
ときに、ゲート電極207と半導体基板201の表面と
の間にはゲート絶縁膜のない空間が形成されている。そ
して、この空間には側壁絶縁膜208が延在してなる突
出部208′が形成されている。すなわち、ゲート電極
207は、その底面の両側辺領域が突出部208′に載
っている構造になっている。この突出部208′は、テ
ラス型スペーサと通称する。エクステンション領域20
6間にあってゲート絶縁膜205の下にはエクステンシ
ョン領域206に接触していない埋め込みチャネル領域
204が形成されている。半導体基板201にはゲート
電極207の表面を露出するようにゲート構造を埋め込
んだシリコン酸化膜などの層間絶縁膜209がCVD法
などにより形成されている。さらに、ゲート電極207
の表面を被覆するように層間絶縁膜209の上にシリコ
ン酸化膜などの層間絶縁膜210が形成されている。層
間絶縁膜209、210を貫通してソース/ドレイン領
域203のそれぞれに電気的に接続されたタングステン
などの材料を埋め込んだ接続配線211、211′が形
成され、その接続部は、層間絶縁膜210の表面に露出
している。
【0021】この実施例の半導体装置は、チャネル領域
のこのような構成により、埋め込みチャネル領域とエク
ステンション領域の間に反転し難い領域ができるのでチ
ャネル長が短くなってもパンチスルーをなし難くするこ
とができる。このように、この実施例ではショートチャ
ネル特性を改善しゲートエッジの信頼性を確保すること
ができる。
【0022】次に、この実施例の半導体装置の製造工程
を以下に説明する。図7乃至図10に製造方法の工程断
面図に示すように、半導体基板(201)上にSITな
どの素子分離領域202を形成し、その後素子領域にシ
リコン酸化膜などからなるゲート絶縁膜205を形成す
る。次に、ゲートダミーパターンを形成する材料、例え
ば、ポリシリコン膜213を200nm厚程度半導体基
板201上に堆積させる(図7(a))。次に、フォト
レジスト形成工程、RIE工程等を用いてポリシリコン
膜213をパターニングしてゲートダミーパターン21
4を形成する(図7(b))。次に、半導体基板201
及びゲートダミーパターン214の表面を熱酸化してゲ
ートダミーパターン214の表面に後酸化膜215を形
成する。このとき、ゲートダミーパターン215底面の
エッジ部分にバーズビークが入れられて、この部分にテ
ラス型スペーサ(突出部)208′が形成される(図7
(c))。
【0023】次に、後酸化膜215をRIEによって加
工してゲートダミーパターン214の側面とテラス型ス
ペーサ208′の部分を残すようにする(図8
(a))。その後、ゲートダミーパターン214をマス
クとして、N型のMOSFETの場合、リン、砒素など
のN型不純物をイオン注入してエクステンション領域2
06を形成する(図8(b))。次に、ゲートダミーパ
ターン214にシリコン窒化膜(SiN)などの絶縁膜
217を形成する。後酸化膜215、絶縁膜217及び
突出部208′は、側壁絶縁膜208を構成している。
例えば、シリコン窒化膜等を全面に形成した後、RIE
でエッジバックを行い、ゲートダミーパターン214の
横に側壁構造を形成するのが方法の1つである。次に、
ゲートダミーパターン214及び側壁絶縁膜208をマ
スクにして、N型のMOSFETの場合、リン、砒素な
どのN型不純物をイオン注入し、高温の活性化処理を行
ってエクステンション領域206につながるソース/ド
レイン領域203が形成される(図8(c))。
【0024】次に、シリコン酸化膜などの層間絶縁膜2
09を堆積させ、その表面をCMP等の技術で平坦化し
て、ゲートダミーパターン216の表面を露出させる
(図9(a))。次に、ゲートダミーパターン214を
選択的にエッチング除去してゲート埋め込み用溝216
を形成する(図9(b))。次に、As、リンなどのN
型不純物をイオン注入して埋め込みチャネル領域204
を形成する。この場合、イオン注入の加速エネルギーを
調整することによって、ゲート埋め込み用溝216中の
特定の領域の半導体基板201中にのみ不純物をドーピ
ングすることが可能である(図10(a))。次に、ゲ
ート埋め込み用溝216において、ゲート絶縁膜205
の上にゲート電極207を形成する。ゲート絶縁膜20
5は、ゲートダミーパターン214の下に形成されてい
た酸化膜205をそのまま用いる場合(この実施例の場
合)とイオン注入時のスクリーニング酸化膜を一旦剥離
して再形成する場合があるが、再形成する方がダメー
ジ、汚染等の影響が残り難い。また、ダマシンメタルゲ
ート構造の場合、ゲート電極207は、TiNとWの積
層構造とし、CMP等で表面を平坦化して形成される。
同様の工程を用いてゲート電極材としてポリシリコンを
用いて不純物ドーピングを行っても良い(図10
(b))。
【0025】次に、絶縁膜209上に絶縁膜210を重
ね、さらに、これにコンタクト孔を形成してソース/ド
レイン領域203に接合される接続配線211、21
1′を形成してトランジスタを得る(図6参照)。この
実施例を用いれば、第1の実施例と同様の効果を得られ
ると同時に、ゲート絶縁膜を酸化膜で形成する場合にお
いてはゲート絶縁膜のエッジ部分の膜厚を適宜厚くする
ことが可能となり、ゲート耐圧、信頼性の向上が期待で
きる。図11乃至図13は、本発明の作用効果を説明す
るための従来及び本発明に用いられる半導体基板の断面
図である。図11(a)に示す従来構造の半導体装置で
は、カウンターイオン注入領域(埋め込みチャネル領
域)がエクステンション領域と接触する為に、同じ導電
型不純物がつながりパンチスルーし易くなる。これに対
して、本発明では、図11(b)に示すように(図1の
半導体装置に相当する)、チャネルイオン注入を行う溝
16の端の部分に厚いテラス型スペーサ8′(側壁絶縁
膜8の突出部)が存在することによって、埋め込みチャ
ネルのカウンターイオン注入領域4をエクステンション
領域6から離して形成することが可能になる。
【0026】以上のような構成により、埋め込みチャネ
ル領域とエクステンション領域との間に反転し難い領域
ができ、チャネル長が短くなっても、パンチスルーし難
くすることができる。また、図12(a)に示すように
従来構造の半導体装置では、エクステンション領域のゲ
ート電極下への横方向拡散部分とゲート電極のオーバー
ラップ容量C1が大きくなる。これに対して、図12
(b)に示すように、本発明を用いれば、ゲート電極7
のオーバーラップ部分の絶縁膜(突出部8′)を厚く形
成することが可能になり、オーバーラップ容量C2を減
らして、トランジスタ特性の向上を図ることができる。
【0027】また、本発明のプロセスを用いることによ
って、ダマシンゲートトランジスタ形成プロセスにおい
てチャネル形成予定領域両端のテラス型スペーサ(突出
部)8′の高さと横方向の長さを任意に調整することが
できる。つまり、図2(a)に示すシリコン酸化膜など
の絶縁膜12の厚さと図3(a)に示すサイドエッチン
グ15の大きさをエッチング量で調整することによって
テラス型スペーサ(突出部)8′の長さを調整すること
が可能になる。これによって、図13(a)及び図13
(b)に示すように、同じエネルギーのイオン注入を用
いてもテラス型スペーサ8′が薄い場合には、図13
(a)に示すように、半導体基板1の中に不純物がドー
ピングされ、テラス型スペーサ8′が厚い場合には、図
13(b)に示すように、テラス型スペーサ8′で遮ら
れて半導体基板1の中に不純物がドーピングされない。
【0028】また、パンチスルーストッパを形成する場
合において、nMOSの埋め込みチャネル不純物17′
をイオン注入する時に、このテラス型スペーサ(突出
部)8′の膜厚を10nmとする。この時、パンチスル
ーストッパ領域を形成するためのボロン17を20Ke
Vでイオン注入する。この時、図13(a)に示すよう
に、テラス型スペーサ8′の下ではボロンイオン17が
浅く形成され、テラス型スペーサ8′の無いチャネル領
域下ではボロンイオン17が深く形成される。また、埋
め込みチャネル領域を形成するための砒素(As)1
7′のイオン注入を5KeVで行う場合、図13(b)
のように、テラス型スペーサ8′の下では不純物が半導
体基板1の中に入らず、テラス型スペーサ8′の無いチ
ャネル領域では不純物が入った不純物分布を形成するこ
とができる。このような構造(図1に示す埋め込みチャ
ネル領域4を表す)を取ることによって、チャネル領域
表面の端部では半導体基板1と同じ導電型の不純物濃度
が高くて埋め込み領域濃度が低い、チャネル表面の中央
部では半導体基板1と同じ導電型の不純物濃度が低くて
埋込み領域濃度が高いチャネル構造、つまり図13
(c)に示すように、Halo構造と同様の効果をエク
ステンション領域又はソース/ドレイン領域と半導体基
板との寄生容量を増加させることなく実現することが可
能になる。
【0029】この様に、テラス型スペーサ8′の長さを
調整することによってエクステンション領域6と埋め込
みチャネル領域の距離を調整できる。つまり、本発明で
は、チャネルの不純物濃度、分布、目的とするチャネル
長に合わせてパンチスルーの程度を制御することが可能
になり、素子特性の最適化の自由度が広がる。また、ゲ
ートとソースドレイン/エクステンション間のオーバー
ラップ容量やチャネル領域とソースドレイン/エクステ
ンション領域間のジャンクション容量や実効的なゲート
長、横方向のチャネルプロファイル制御性を向上させる
ことが可能になり、チャネル設計の自由度も大きく広が
る。
【0030】次に、図14乃至図18を参照して第4の
実施例を説明する。図14は、完成された半導体装置の
断面図、図15乃至図18は、この半導体装置の製造工
程断面図である。シリコンなど例えばP型の半導体基板
401は、STIなどの素子分離領域402により素子
分離された素子領域が形成されている。この素子領域に
は半導体基板401の素子領域の表面領域に互いに離隔
して配置され、且つ対向する部分に例えばN型のエクス
テンション領域406が形成された例えばN型のソース
/ドレイン領域403(SDE領域)が形成されてい
る。ソース/ドレイン領域403間の上に半導体基板4
01の表面を被覆するようにシリコンの熱酸化膜などか
らなるゲート絶縁膜405が形成されている。
【0031】また、ゲート絶縁膜405の上にW、A
l、Cu、TiNなどの金属もしくは合金などから構成
されたゲート電極407が形成されている。ゲート電極
407の両側面にはシリコン窒化膜などからなる側壁絶
縁膜408が形成されている。ゲート電極407の幅
(ゲート長)は、ゲート絶縁膜405の幅より大きくな
っている。つまりゲート絶縁膜405は、ゲート電極4
07のほぼ中央に配置され、両者が重なったときに、ゲ
ート電極407と半導体基板401の表面との間にはゲ
ート絶縁膜のない空間が形成されている。そして、この
空間には側壁絶縁膜408が延在して形成された突出部
408′が充填されている。この突出部408′は、ゲ
ート絶縁膜より厚く形成されている。ゲート電極407
は、その底面の両側辺領域が突出部408′に載ってい
る構造になっている。この突出部408′は、テラス型
スペーサという。エクステンション領域406間にあっ
てゲート絶縁膜405の下にはエクステンション領域4
06にもゲート絶縁膜405にも接触していない埋め込
みチャネル領域404が形成されている。また、埋め込
みチャネル領域404の下にはパンチスルーストッパー
領域404′が形成されている。この領域の突出部40
8′の下の部分はその濃度ピークが埋め込みチャネル領
域404の下の部分の濃度ピークより浅く構成されてい
る。
【0032】半導体基板401にはゲート電極407の
表面を露出するようにゲート構造を埋め込んだシリコン
酸化膜などの層間絶縁膜409がCVD法などにより形
成されている。さらに、ゲート電極407の表面を被覆
するように層間絶縁膜409の上にシリコン酸化膜など
の層間絶縁膜410が形成されている。層間絶縁膜40
9、410を貫通してソース/ドレイン領域403のそ
れぞれに電気的に接続されたタングステンなどの材料を
埋め込んだ接続配線411、411′が形成され、その
接続部は、層間絶縁膜410の表面に露出している。こ
の実施例の半導体装置は、チャネル領域のこのような構
成により、埋め込みチャネル領域とエクステンション領
域の間に反転し難い領域ができるのでチャネル長が短く
なってもパンチスルーをなし難くすることができる。こ
のように、この実施例ではショートチャネル特性を改善
しゲートエッジの信頼性を確保することができる。ま
た、しきい値調整用不純物ドーピングとともにパンチス
ルーストッパー領域形成用不純物ドーピングを自己整合
的にエクステンション領域の直下に行うことにより、有
効にショートチャネル効果を抑制しつつ、チャネルスト
ッパーによるしきい値上昇を抑制することができる。
【0033】次に、この実施例の半導体装置の製造工程
を以下に説明する。まず、半導体基板401上にシリコ
ン酸化膜を構成材料とする素子分離領域402を形成
し、続いて、シリコン酸化膜などの絶縁膜412を形成
する。この絶縁膜412の膜厚は、後に示すテラス型ス
ペーサ(突出部)408′の高さを決めるものであり、
ゲート絶縁膜よりも厚く、且つイオン注入でドーピング
される不純物の深さの差を十分取れる膜厚であれば良
い。この絶縁膜412としては、例えば、5nmから3
0nm厚程度のシリコン熱酸化膜が望ましい。また、こ
の絶縁膜412は、後工程においてサイドエッチング溝
を形成するときに後述するゲートダミーパターンを形成
する材料と半導体基板とのエッチング選択比が取れるも
のであればよく、例えば、シリコン窒化膜(SiN)な
どを使用しても良い(図15(a))。
【0034】次に、ゲートダミーパターンを形成する材
料、例えばポリシリコン膜413を200nm厚程度堆
積させる。この材料も後に示すゲート埋め込み用溝を形
成するときに周りの材料とエッチング選択比が取れる材
料で置き換えても良い(図15(b))。次に、フォト
レジスト工程、RIE工程等を用いてポリシリコン膜4
13からゲートダミーパターン414をパターン形成す
る。このとき、先に形成した絶縁膜412の途中または
全部を同時にエッチング除去してしまっても良い(図1
5(c))。次に、絶縁膜412をエッチングしてゲー
トダミーパターン414の直下にサイドエッチング溝4
15を形成する。絶縁膜412がシリコン酸化物で形成
されている場合はふっ酸等の酸化膜エッチング液を用い
て横方向にもエッチングできる等方的エッチングを行
う。サイドエッチング溝415の横方向の長さは上記エ
ッチング時間を調整することによって容易に調整するこ
とが可能である(図16(a))。次に、ゲートダミー
パターン414をマスクにエクステンション領域形成用
のリンや砒素など(N型のMOSFETの場合)の不純
物をイオン注入してエクステンション領域406を形成
する。
【0035】この時イオン注入のスクリーニング酸化膜
としてサイドエッチング溝415が埋まってしまわない
程度、例えば、サイドエッチング溝415の高さが10
nmであると2nm程度の膜厚の酸化膜を形成しておい
ても良い(図16(b))。次に、ゲートダミーパター
ン414に側壁絶縁膜408を形成する。側壁絶縁膜4
08を形成する材質は、サイドエッチング溝415を埋
め込めるようなもの、例えば、ステップカバレッジの良
好なLPCVD法によるシリコン窒化膜(SiN膜)な
どが適当である。SiN膜等を全面に形成した後、RI
Eでエッチバックを行い、ゲートダミーパターン414
の横に側壁絶縁膜408を形成すれば良い。サイドエッ
チング溝415にもSiN膜が入り込んで側壁絶縁膜4
08の突出部(テラス型スペーサ)408′を形成され
る(図16(c))。次に、ゲートダミーパターン41
4及び側壁絶縁膜408をマスクにして、N型のMOS
FETの場合、リン、砒素等の不純物をイオン注入し高
温の活性化を行ってソース/ドレイン領域403を形成
する(図17(a))。
【0036】次に、シリコン酸化膜などの層間絶縁膜4
09を堆積させてから、この表面をCMP等の技術で平
坦化し、ゲートダミーパターン14の表面を露出させる
(図17(b))。次に、ゲートダミーパターンを選択
的に除去してゲート埋め込み用溝416を形成する。ゲ
ートダミーパターンがポリシリコンで形成されている場
合、CF4 等のエッチングガスを用いたCDEやふっ酸
とHNO3 の混合酸を用いて選択的な除去が可能であ
る。混合酸を用いた場合は、ふっ酸の比を制御すること
により酸化膜とポリシリコンの選択比を適宜調整するこ
とができる。その後、次に、最初に形成した絶縁膜41
2を除去してテラス型スペーサ408′を形成する。こ
の時、側壁絶縁膜408がシリコン窒化膜で形成されて
いれば、絶縁膜412をふっ酸で除去することができる
(図17(c))。次に、N型のMOSFETの場合、
リン、砒素などのチャネル領域形成用不純物をイオン注
入して埋め込みチャネル領域404を形成する。この
時、イオン注入の加速エネルギーを調整することによっ
てゲート埋め込み用溝416中の特定の領域に存在する
半導体基板401の中にのみ不純物をドーピングするこ
とが可能である。また、半導体基板と同じ導電型の不純
物を埋め込みチャネル領域形成用の不純物より深くイオ
ン注入してパンチスルーストッパー領域404′を形成
する(図18(a))。
【0037】次に、ゲート絶縁膜405とゲート電極4
07を形成する。ゲート絶縁膜405として半導体基板
401を酸化して形成する熱酸化膜を用いる場合は、テ
ラス型スペーサ408′よりも薄くなるようにする。例
えば、テラス型スペーサ408′の段差が保持されるの
で構わない。またダマシンメタルゲート構造の場合、ゲ
ート電極407は、TiNとWの積層構造を形成し、C
MP等で表面を平坦化する。同様の工程を用いてゲート
電極材にポリシリコンを用いて不純物ドーピングを行っ
ても良い(図18(b))。次に、絶縁膜409上に絶
縁膜410を重ね、さらに、これにコンタクト孔を形成
してソース/ドレイン領域403に接合される接続配線
411、411′を形成する(図14参照)。この実施
例では、サイドエッチング溝にシリコン窒化膜などの側
壁絶縁膜を埋め込んでゲート電極下に入り込んだ側壁絶
縁膜の突出部を形成し、その後、ダミーゲート及びその
下の酸化膜を除去した後に、この側壁絶縁膜が残るよう
にし、さらに、しきい値調整用不純物ドーピングを行う
ことによってチャネル長が短くなってもパンチスルーが
難しくなるようにショートチャネル特性を改善してゲー
トエッジの信頼性を確保することができる。
【0038】本発明のプロセスを用いることによって、
ダマシンゲートトランジスタ形成プロセスにおいてチャ
ネル形成予定領域両端のテラス型スぺーサ(側壁絶縁膜
の突出部)の高さと横方向の長さを任意に調整すること
ができる。これによって、ゲート電極とソースドレイン
/エクステンション領域間のオーバーラップ容量やチャ
ネル領域とソースドレイン/エクステンション領域間の
ジャンクション容量や実効的なゲート長、横方向のチャ
ネルプロファイル制御性向上等チャネル設計の自由度が
大きく広がる。とくに、一旦形成したダミーゲートをマ
スクにソース/ドレイン領域をイオン注入しソース/ド
レイン領域の活性化後にダミーゲートを除去、チャネル
領域のイオン注入を行い、ゲート絶縁膜を再形成しメタ
ル電極材を埋め込むダマシンメタルゲートプロセスにお
いて、非常に浅い埋め込みチャネル領域をソース/ドレ
イン領域から任意の距離で離して形成することが可能に
なる。また、埋め込みチャネル領域と合わせて用いるパ
ンチスルーストッパ領域として用いられる不純物領域を
ソース/ドレイン領域と自己整合的に離して、ソース/
ドレイン領域端では浅く、チャネル領域の中央部分では
深く形成することが可能になる。これによって、埋め込
みチャネル領域において、ショートチャネル特性の劣化
の少ないトランジスタを形成することが可能になる。
【0039】また、ゲート電極にポリシリコン又は仕事
関数の異なる2種類のメタル材料を用いて表面チャネル
型トランジスタを形成する場合においては、上記と同様
にパンチスルーストッパ領域をソース/ドレイン領域と
自己整合的に離してソース/ドレイン領域端では浅く、
チャネル領域の中央部分では深く形成することが可能に
なる。また埋め込みチャネル型と表面チャネル型の両方
の場合、ゲート電極とソース/ドレイン領域間の寄生容
量とソース/ドレイン領域と半導体基板間の寄生容量を
抑制することが可能になる。
【0040】
【発明の効果】本発明は、以上の構成により、ダマシン
ゲートトランジスタ形成プロセスにおいて、チャネル形
成予定領域両端のテラス型スぺーサ(側壁絶縁膜の突出
部)の高さと横方向の長さを任意に調整することがで
き、これによって、ゲート電極とソースドレイン/エク
ステンション領域間のオーバーラップ容量やチャネル領
域とソースドレイン/エクステンション領域間のジャン
クション容量や実効的なゲート長、横方向のチャネルプ
ロファイル制御性向上等チャネル設計の自由度が大きく
広がる。
【図面の簡単な説明】
【図1】本発明の半導体装置の断面図。
【図2】図1の半導体装置の製造工程断面図。
【図3】図1の半導体装置の製造工程断面図。
【図4】図1の半導体装置の製造工程断面図。
【図5】図1の半導体装置の製造工程断面図。
【図6】本発明の半導体装置の断面図。
【図7】図6の半導体装置の製造工程断面図。
【図8】図6の半導体装置の製造工程断面図。
【図9】図6の半導体装置の製造工程断面図。
【図10】図6の半導体装置の製造工程断面図。
【図11】本発明の作用効果を説明するための従来及び
本発明に用いられる半導体基板の断面図。
【図12】本発明の作用効果を説明するための従来及び
本発明に用いられる半導体基板の断面図。
【図13】本発明の作用効果を説明するための従来及び
本発明に用いられる半導体基板の断面図。
【図14】本発明の半導体装置の断面図。
【図15】図14の半導体装置の製造工程断面図。
【図16】図14の半導体装置の製造工程断面図。
【図17】図14の半導体装置の製造工程断面図。
【図18】図14の半導体装置の製造工程断面図。
【図19】従来の半導体装置の構造を説明する半導体基
板の断面図。
【符号の説明】
1、201、401・・・半導体基板、 2、202、402・・・素子分離領域、 3、203、403・・・ソース/ドレイン領域、 4、204、404・・・埋め込みチャネル領域、 5、205、405・・・ゲート絶縁膜、 6、206、406・・・エクステンション領域、 7、207、407・・・ゲート電極、 8、208、408・・・側壁絶縁膜、 8′、208′、408′・・・突出部(テラス型スペ
ーサ) 9、10、209、210、217・・・絶縁膜、 11、11′、211、211′、411、411′・
・・接続配線、 12、217、412・・・絶縁膜、 13、213、413・・・ポリシリコン膜、 14、214、414・・・ダミーゲートパターン、 15、415・・・サイドエッチング溝、 16、216、416・・・ゲート埋め込み用溝、 215・・・後酸化膜、 404′・・・パンチスルー
ストッパー領域。
フロントページの続き Fターム(参考) 5F140 AA18 BB06 BC06 BD18 BE01 BE03 BE07 BF04 BF05 BF07 BF11 BF17 BG03 BG04 BG05 BG08 BG09 BG12 BG14 BG26 BG27 BG32 BG40 BG50 BG52 BG53 BH15 BK02 BK05 BK09 BK13 BK21 BK25 CB04 CC03 CC12 CE07

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板と、 前記半導体基板に互いに離隔して配置され、且つ対向す
    る部分にエクステンション領域が形成されたソース/ド
    レイン領域と、 前記半導体基板主面上に形成され、且つ前記エクステン
    ション及びソース/ドレイン領域間の上に配置されたゲ
    ート絶縁膜と、 前記ゲート絶縁膜上に形成されたゲート電極と、 前記半導体基板主面上に形成され、且つ前記ゲート電極
    側面を被覆するゲート側壁絶縁膜と、 前記半導体基板に形成され、且つ前記ゲート電極下に配
    置されたチャネル領域とを備え、 前記ゲート側壁絶縁膜は、その下部に前記ゲート電極下
    部の内側方向に食い込む突出部を有し、前記チャネル領
    域は、実質的にこの突出部間のゲート電極下にのみ形成
    されていることを特徴とする半導体装置。
  2. 【請求項2】 半導体基板と、 前記半導体基板に互いに離隔して配置され、且つ対向す
    る部分にエクステンション領域が形成されたソース/ド
    レイン領域と、 前記半導体基板主面上に形成され、且つ前記エクステン
    ション及びソース/ドレイン領域間の上に配置されたゲ
    ート絶縁膜と、 前記ゲート絶縁膜上に形成されたゲート電極と、 前記半導体基板主面上に形成され、且つ前記ゲート電極
    側面を被覆するゲート側壁絶縁膜と、 前記半導体基板に形成され、且つ前記ゲート電極下に配
    置されたチャネル領域と、 前記半導体基板に形成され、且つ前記ゲート電極下及び
    前記チャネル領域下に配置されたパンチスルーストッパ
    ー領域とを備え、 前記ゲート側壁絶縁膜は、その下部に前記ゲート電極下
    部の内側方向に食い込む突出部を有し、前記パンチスル
    ーストッパー領域は、実質的に前記突出部直下に存在す
    る不純物ピーク濃度の前記半導体基板主面からの深さが
    前記チャネル領域直下の不純物ピーク濃度の前記半導体
    基板主面からの深さより浅いことを特徴とする半導体装
    置。
  3. 【請求項3】 半導体基板上に第1の材料層を形成する
    工程と、 前記第1の材料層上に第2の材料層を形成し、この第2
    の材料層をパターニングしてゲート電極形成領域に前記
    第2の材料層から構成されたゲート電極と同じ形の第1
    のパターンを形成する工程と、 前記第1の材料層をパターニングして、前記第1のパタ
    ーンの下の前記第1の材料層を前記第1のパターンの両
    端から所定の距離エッチング除去して、前記第1のパタ
    ーンの下に前記第1の材料層から構成された前記第1の
    パターンより幅の狭い第2のパターンを形成する工程
    と、 前記第1及び第2のパターンをマスクにして前記半導体
    基板に不純物をイオン注入してエクステンション領域を
    形成する工程と、 前記第1及び第2のパターンを被覆するように第1の絶
    縁膜を前記半導体基板上に堆積させる工程と、 前記第1の絶縁膜を異方性エッチングによりパターニン
    グして前記第1の絶縁膜から構成され、底面が前記第1
    のパターンの底面の下に延在するように突出した突出部
    を有する側壁絶縁膜を前記第2のパターンの両側に形成
    する工程と、 前記半導体基板に、前記第2のパターン及び前記側壁絶
    縁膜をマスクにして、不純物をイオン注入してソース/
    ドレイン領域を形成する工程と、 前記ソース/ドレイン領域を形成してから、前記第1及
    び第2のパターン、前記側壁絶縁膜を被覆するように第
    2の絶縁膜を前記半導体基板上に堆積させる工程と、 前記第2の絶縁膜の表面を研磨して前記第2のパターン
    表面を露出させる工程と、 前記第1及び第2のパターンを除去して、両側を前記側
    壁絶縁膜に囲まれ、且つ前記側壁絶縁膜から突出する前
    記突出部が対向しているゲート電極埋め込み用溝を形成
    する工程と、 前記ゲート電極埋め込み用溝の部分から半導体基板に不
    純物をイオン注入して前記ゲート電極埋め込み用溝の下
    に不純物領域を形成する工程と、 前記ゲート電極埋め込み用溝の半導体基板表面にゲート
    絶縁膜を形成する工程と、 前記ゲート電極埋め込み用溝にゲート電極を埋め込む工
    程とを具備したことを特徴とする半導体装置の製造方
    法。
  4. 【請求項4】 前記不純物領域は、前記半導体基板の導
    電型とは反対導電型の埋め込み型チャネル領域として用
    いられる不純物領域であることを特徴とする請求項3に
    記載の半導体装置の製造方法。
  5. 【請求項5】 前記不純物領域は、前記半導体基板の導
    電型と同じ導電型のパンチスルーストッパー用不純物領
    域であることを特徴とする請求項3又は請求項4に記載
    の半導体装置の製造方法。
  6. 【請求項6】 前記パンチスルーストッパー用不純物領
    域は、前記突起部直下ではその不純物ピーク濃度が前記
    ゲート絶縁膜直下の不純物ピーク濃度より浅く形成され
    ていることを特徴とする請求項5に記載の半導体装置の
    製造方法。
JP2001095899A 2001-03-29 2001-03-29 半導体装置及びその製造方法 Expired - Fee Related JP3940565B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001095899A JP3940565B2 (ja) 2001-03-29 2001-03-29 半導体装置及びその製造方法
US10/101,950 US6642581B2 (en) 2001-03-29 2002-03-21 Semiconductor device comprising buried channel region
US10/602,066 US20040084731A1 (en) 2001-03-29 2003-06-24 Semiconductor device comprising buried channel region and method for manufacturing the same
US11/727,735 US7288470B2 (en) 2001-03-29 2007-03-28 Semiconductor device comprising buried channel region and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001095899A JP3940565B2 (ja) 2001-03-29 2001-03-29 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2002299608A true JP2002299608A (ja) 2002-10-11
JP3940565B2 JP3940565B2 (ja) 2007-07-04

Family

ID=18949889

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001095899A Expired - Fee Related JP3940565B2 (ja) 2001-03-29 2001-03-29 半導体装置及びその製造方法

Country Status (2)

Country Link
US (3) US6642581B2 (ja)
JP (1) JP3940565B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100883741B1 (ko) * 2004-06-04 2009-02-12 미크론 테크놀로지,인코포레이티드 게이티드 전계 효과 장치 및 이의 형성 방법

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6867103B1 (en) * 2002-05-24 2005-03-15 Taiwan Semiconductor Manufacturing Company Method of fabricating an ESD device on SOI
JP2004014911A (ja) * 2002-06-10 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
KR100439034B1 (ko) * 2002-08-02 2004-07-03 삼성전자주식회사 누설전류를 방지할 수 있는 반도체 장치의 비트라인구조및 그의 형성방법
US6756619B2 (en) * 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
TW589669B (en) * 2002-09-25 2004-06-01 Nanya Technology Corp Gate with dual gate dielectric layer and method thereof
US6734072B1 (en) * 2003-03-05 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a MOSFET device using a spike rapid thermal oxidation procedure
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
DE10333776B4 (de) * 2003-07-24 2005-06-30 Infineon Technologies Ag Verfahren zur Herstellung einer Gate-Struktur eines FETs
TWI226659B (en) * 2003-11-17 2005-01-11 Macronix Int Co Ltd Method of fabricating a contact
US7332421B2 (en) * 2003-12-31 2008-02-19 Dongbu Electronics Co., Ltd. Method of fabricating gate electrode of semiconductor device
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100613371B1 (ko) * 2004-04-23 2006-08-17 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7312120B2 (en) * 2004-09-01 2007-12-25 Micron Technology, Inc. Method for obtaining extreme selectivity of metal nitrides and metal oxides
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP2006253311A (ja) * 2005-03-09 2006-09-21 Toshiba Corp 半導体装置及びその製造方法
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
JP2007088322A (ja) * 2005-09-26 2007-04-05 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
KR100707678B1 (ko) * 2005-12-29 2007-04-13 동부일렉트로닉스 주식회사 반도체 소자의 게이트 구조 및 그 제조 방법
EP2033212B1 (en) * 2006-06-29 2013-10-16 Cree, Inc. Method of forming a silicon carbide pmos device
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
KR100769256B1 (ko) * 2006-10-02 2007-10-22 삼성전자주식회사 반도체 소자 및 그 형성방법
KR100817093B1 (ko) * 2007-03-16 2008-03-26 삼성전자주식회사 아일랜드 영역을 포함하는 반도체 소자
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US9048254B2 (en) 2009-12-02 2015-06-02 United Microelectronics Corp. Semiconductor structure having a metal gate with side wall spacers
KR20110092836A (ko) * 2010-02-10 2011-08-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102010003555B4 (de) * 2010-03-31 2019-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Aluminiumsicherungen in einem Halbleiterbauelement, das Metallgateelektrodenstrukturen aufweist
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US20120267724A1 (en) * 2011-04-20 2012-10-25 Globalfoundries Inc. Mos semiconductor device and methods for its fabrication
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
CN102800593B (zh) * 2011-05-25 2016-03-16 中芯国际集成电路制造(上海)有限公司 晶体管形成方法
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8592264B2 (en) * 2011-12-21 2013-11-26 International Business Machines Corporation Source-drain extension formation in replacement metal gate transistor device
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9136784B2 (en) * 2012-03-20 2015-09-15 GM Global Technology Operations LLC Universal control unit for brushed or brushless DC motor
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8704332B2 (en) * 2012-06-13 2014-04-22 International Business Machines Corporation Metal oxide semiconductor field effect transistor (MOSFET) gate termination
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
CN103531455B (zh) * 2012-07-03 2017-06-06 中国科学院微电子研究所 半导体器件及其制造方法
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
JP2016500927A (ja) 2012-10-31 2016-01-14 三重富士通セミコンダクター株式会社 低変動トランジスタ・ペリフェラル回路を備えるdram型デバイス、及び関連する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
EP3179514B1 (en) * 2015-12-11 2024-01-24 IMEC vzw Transistor device with reduced hot carrier injection effect
WO2017171843A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Transistor gate trench engineering to decrease capacitance and resistance
US10714621B2 (en) * 2016-12-14 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming doped channel thereof
US10157916B2 (en) * 2017-04-10 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10276679B2 (en) * 2017-05-30 2019-04-30 Vanguard International Semiconductor Corporation Semiconductor device and method for manufacturing the same
JP2021150591A (ja) 2020-03-23 2021-09-27 キオクシア株式会社 半導体装置
CN113823677B (zh) 2020-06-18 2023-12-26 联华电子股份有限公司 埋入式栅极通道的金属氧化物半导体场效晶体管及其制法
US11469307B2 (en) * 2020-09-29 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Thicker corner of a gate dielectric structure around a recessed gate electrode for an MV device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2705106B2 (ja) * 1988-05-25 1998-01-26 セイコーエプソン株式会社 半導体装置の製造方法
JP2992312B2 (ja) 1990-07-09 1999-12-20 株式会社東芝 半導体装置
US5382533A (en) * 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
US5429956A (en) * 1994-09-30 1995-07-04 United Microelectronics Corporation Method for fabricating a field effect transistor with a self-aligned anti-punchthrough implant channel
TW304301B (ja) * 1994-12-01 1997-05-01 At & T Corp
US5838044A (en) * 1995-12-12 1998-11-17 Advanced Micro Devices Integrated circuit having improved polysilicon resistor structures
JPH1065167A (ja) 1996-02-13 1998-03-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JP3530026B2 (ja) 1997-06-30 2004-05-24 株式会社東芝 半導体装置及びその製造方法
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
JPH11163323A (ja) 1997-11-26 1999-06-18 Toshiba Corp 半導体装置とこの半導体装置の製造方法
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6225661B1 (en) * 1998-09-02 2001-05-01 Advanced Micro Devices, Inc. MOS transistor with stepped gate insulator
JP2001007323A (ja) 1999-06-25 2001-01-12 Sanyo Electric Co Ltd 半導体装置の製造方法
TW543102B (en) * 2000-01-04 2003-07-21 Taiwan Semiconductor Mfg Manufacturing method of metal-oxide-semiconductor device
US6319807B1 (en) * 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
KR100372643B1 (ko) * 2000-06-30 2003-02-17 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 제조방법
US6399469B1 (en) * 2000-07-10 2002-06-04 Advanced Micro Devices, Inc. Fabrication of a notched gate structure for a field effect transistor using a single patterning and etch process
KR100343472B1 (ko) * 2000-08-31 2002-07-18 박종섭 모스 트랜지스터의 제조방법
US6503844B2 (en) * 2001-06-06 2003-01-07 Infineon Technologies, Ag Notched gate configuration for high performance integrated circuits

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100883741B1 (ko) * 2004-06-04 2009-02-12 미크론 테크놀로지,인코포레이티드 게이티드 전계 효과 장치 및 이의 형성 방법

Also Published As

Publication number Publication date
US7288470B2 (en) 2007-10-30
JP3940565B2 (ja) 2007-07-04
US20040084731A1 (en) 2004-05-06
US20020142529A1 (en) 2002-10-03
US20070184623A1 (en) 2007-08-09
US6642581B2 (en) 2003-11-04

Similar Documents

Publication Publication Date Title
JP3940565B2 (ja) 半導体装置及びその製造方法
US6737308B2 (en) Semiconductor device having LDD-type source/drain regions and fabrication method thereof
US6232641B1 (en) Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
EP0756320B1 (en) Semiconductor device and method for manufacturing the same
JP2006066927A (ja) エレベイテッドサリサイドソース/ドレイン領域の形成方法、および半導体素子
JPH11135745A (ja) 半導体装置及びその製造方法
KR100393139B1 (ko) 반도체장치 및 그의 제조방법
JP3058112B2 (ja) 半導体装置およびその製造方法
JP4424887B2 (ja) 半導体素子の製造方法
JP2007317796A (ja) 半導体装置および半導体装置の製造方法
JP2006202860A (ja) 半導体装置及びその製造方法
US7943465B2 (en) Method for manufacturing a semiconductor component
JPH07273330A (ja) 半導体装置及びその製造方法
US6780691B2 (en) Method to fabricate elevated source/drain transistor with large area for silicidation
JP3394914B2 (ja) 半導体装置およびその製造方法
US6507075B1 (en) Method of forming a MOS transistor in a semiconductor device and a MOS transistor fabricated thereby
JPH09172063A (ja) 半導体装置及びその製造方法
JP2005259945A (ja) 半導体装置の製造方法及び半導体装置
JPH11163325A (ja) 半導体装置及びその製造方法
US20220406774A1 (en) Doped well for semiconductor devices
JP3714396B2 (ja) 半導体装置の製造方法
KR100518530B1 (ko) 보더리스 콘택홀을 갖는 반도체 소자 및 그 제조방법
JPH06244415A (ja) 半導体装置およびその製造方法
JPH09321285A (ja) 半導体装置の製造方法
JP2000031474A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050907

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061228

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070320

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070402

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100406

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110406

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130406

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees