CN106463453A - 在化学气相沉积反应器中的基座的设计 - Google Patents

在化学气相沉积反应器中的基座的设计 Download PDF

Info

Publication number
CN106463453A
CN106463453A CN201580032737.4A CN201580032737A CN106463453A CN 106463453 A CN106463453 A CN 106463453A CN 201580032737 A CN201580032737 A CN 201580032737A CN 106463453 A CN106463453 A CN 106463453A
Authority
CN
China
Prior art keywords
substrate support
pedestal
support ring
substrate
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
CN201580032737.4A
Other languages
English (en)
Inventor
黄奕樵
朱作明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106463453A publication Critical patent/CN106463453A/zh
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文描述的实施方式一般涉及一种用来沉积材料在基板上的设备。设备包含基板支撑组件。基板支撑组件包含基座和设置在基座上的基板支撑环。基板支撑环具有第一表面和第二表面,所述第一表面用以接收基板,第二表面与第一表面相对。第二表面包含至少三个突出且每个突出具有与基座接触的尖端。基板支撑环由具有低热传导率的材料构成,且将基板支撑环和基座间的接触区域最小化,使得从基座至基板边缘的不需要的热传导最小。

Description

在化学气相沉积反应器中的基座的设计
技术领域
本文描述的实施方式一般涉及半导体制造,且特别涉及一种用来沉积材料在基板上的设备。
背景技术
集成电路通常经由连续沉积导体层、半导体层或绝缘层而形成在基板上,特别是在硅晶片上。半导体器件在尺寸上的持续减小取决于(举例来说)在沉积处理期间对基板温度的更精确的控制。通常地,基板在沉积处理期间设置于经加热的基座上。基板可能因为具有非常不同的热膨胀系数(coefficient of thermal expansion,CTE)的材料的涂层,或因为固有的拉伸应力(tensile stress)而弯曲。弯曲的基板(通常具有凹面形状)被不均匀地加热,这是因为基板的一部分与经加热的基座接触,同时其余部分未与经加热的基座接触。
因此,需要一种具有改良的基板温度均匀性的处理设备。
发明内容
本文描述的实施方式一般涉及一种用以沉积材料在基板上的设备。设备包含基座和设置在基座上的基板支撑环。基板支撑环具有第一表面和第二表面,第一表面用以接收基板,第二表面与所述第一表面相对。第二表面包含至少三个突出且每个突出具有与所述基座接触的尖端。
在一个实施方式中,公开一种设备。设备包含基座和基板支撑环,基板支撑环设置于基座的表面上。基板支撑环包含第一表面和第二表面,第一表面用以接收基板,第二表面与第一表面相对。第二表面包含至少三个突出,每个突出具有尖端,且每个尖端与基座接触。
在另一个实施方式中,公开一种设备。设备包含腔室主体和基板支撑组件,基板支撑组件设置于腔室主体中。基板支撑组件包含基座和基板支撑环,基板支撑环设置于基座的表面上。基板支撑环包含第一表面和第二表面,第一表面用以接收基板,第二表面与第一表面相对。第二表面包含至少三个突出,每个突出具有尖端,且每个尖端与基座接触。
在另一个实施方式中,公开一种设备。设备包含具有表面的基座及形成于基座的表面中的至少三个凹陷。基板支撑组件进一步包含基板支撑环,基板支撑环设置于基座的表面上。基板支撑环包含第一表面和第二表面,第一表面用以接收基板,第二表面与第一表面相对。第二表面包含至少三个突出,每个突出具有尖端,且每个尖端设置于所述至少三个凹陷中的对应的凹陷中。
附图说明
为使本公开的上述所载的特征可被详细地理解,可参照实施方式(一些实施方式绘示于所附附图中)而获得本公开的上述概要内容的更特定的说明。然而,应注意所附附图仅绘示此公开的通常实施方式,且不应被视为限制本公开的范围,因为本公开可采用其他等效的实施方式。
图1为依据本文描述的一个实施方式的用以沉积材料在基板上的设备的截面图。
图2A~2C绘示依据本文描述的实施方式的基板支撑组件。
图3A~3B绘示依据本文描述的实施方式的基板支撑组件。
为帮助理解,已尽可能使用相同的元件符号以指定附图中共同的相同元件。应预见一个实施方式的元件和特征可被有利地并入其他实施方式中而无须进一步赘述。
具体实施方式
本文描述的实施方式一般涉及一种用以沉积材料在基板上的设备。设备包含基板支撑组件。基板支撑组件包含基座和设置在基座上的基板支撑环。基板支撑环具有第一表面和第二表面,第一表面用以接收基板,第二表面与第一表面相对。第二表面包含至少三个突出且每个突出具有与基座接触的尖端。
图1为依据一个实施方式的用以沉积材料在基板108上的设备100的截面图。设备100可以是热化学气相沉积(CVD)腔室,具有如图1所示的设置于基板108下方的加热灯102的阵列。然而,设备100不限于图1所示的构造。在一些实施方式中,基板108可被嵌在支撑基板的基座中的加热元件加热,且处理气体可经由设置在基板108上方的喷淋头而被导入。在一些实施方式中,辐射加热灯的阵列可被设置于基板108的上方。
如图1中所示,设备100包含腔室主体101、设置在腔室主体101中的上方圆顶128和下方圆底114,及设置在上方圆顶128和下方圆底114之间的底环136。大体而言,上方圆顶128和下方圆底114由光学透明的材料(诸如石英)形成。基板支撑组件104设置于腔室主体101中,位于上方圆顶128和下方圆底114之间。基板108(未依比例)可经由装载(未图示)而被送入设备100并放置于基板支撑组件104上。基板支撑组件104包含基座103和基板支撑环107,基板支撑环107设置于基座103上。基板支撑组件104可被轴132支撑。基板108可被设置于基板支撑环107上。
基板支撑组件104显示在升高的处理位置,但可通过致动器(未图示)而被竖直地横向移动(traverse)至位于处理位置下方的装载位置,以允许举升销105穿过基座103中的孔而接触下方圆底104,并从基板支撑环107将基板108升起。在一些实施方式中,举升销105并未接触下方圆底104。反之,举升销105可接触设置在下方圆底114上方的支撑件(未图示)。机器人(未图示)可接着进入设备100以抓取基板108,并将基板108通过装载口而从设备中移除。
基板支撑组件104(当位于处理位置时)将腔室主体101的内部空间分割成处理区域156及净化区域158,处理区域位于基板108上方,净化区域158位于基座103下方。基座103和基板支撑环107可在操作期间通过轴132而旋转,以使得在腔室主体101内的热效应和处理气体流动空间异常最小化,从而有助于基板108的均匀处理。基板支撑组件104详细说明如下。
一个或多个加热灯(诸如加热灯102的阵列)可以以围着中央轴132的指定方式而被设置成邻近于下方圆底114并位于下方圆底114之下,以当处理气体通过基板108上方时,独立地控制在基板108的不同区域处的温度,从而有助于将材料沉积在基板108的上表面上。
环形屏蔽件167可被选择性地围着基板支撑组件104而设置。环形屏蔽件167可被耦接于衬垫组件163,衬垫组件163耦接于底环136。屏蔽件167防止或最小化来自灯102的热/光干扰泄漏至基板108的上表面116,同时提供用于处理气体的预热区。屏蔽件167可由碳化硅(SiC)、涂布有SiC的烧结石墨、生长的SiC、不透明石英、镀膜石英(coated quartz)或任何可抵抗处理工艺和净化气体造成的化学损坏的类似的、合适的材料。在一些实施方式中,环形屏蔽件167可以是预热环,用以在处理气体抵达基板108前,加热从处理气体入口174流入的处理气体。
反射器122可被选择性地放置于上方圆顶128上方,以将从基板108辐射出的红外光反射回到基板108上。反射器122可使用夹持环130固定至上方圆顶128。反射器122可由金属(诸如铝或不锈钢)制成。可通过以高反射涂层(诸如金)涂布反射器区域而改善反射的效率。反射器122可具有一个或多个加工通道126,加工通道126连接至冷却源(未图示)。光学高温计118可被设置在反射器122上,用于温度测量/控制。
从处理气体供应源172供应的处理气体可通过形成在底环136中的处理气体入口174而导入至处理区域156中。处理气体入口174以大致径向向内的方向引导处理气体。在膜形成处理期间,基板支撑组件104可位于处理位置中,处理位置邻近处理气体入口174并位于约与处理气体入口174相同的高度,以允许处理气体以层流方式沿着流动路径173流动越过基板108的上表面116。处理气体通过气体出口178(沿着流动路径175)而离开处理区域156,气体出口178位于设备100的相对于处理气体入口174的一侧上。借助耦接至气体出口178的真空泵180可有助于通过气体出口178移除处理气体。
净化气体可从净化气体源162通过形成在底环136中的选择性的净化气体入口164(或通过形成在底环136中的处理气体入口174)而被供应至净化区域158。净化气体入口164设置于处理气体入口174的下方。净化气体入口164以大致径向向内的方向引导净化气体。在膜形成处理期间,基板支撑组件104可位于使得净化气体以层流方式沿着流动路径165流动越过基座103的背侧111的位置处。净化气体(沿着流动路径166)离开净化区域158并经由气体出口178而排出处理腔室。
图2A~2C绘示依据本文描述的实施方式的基板支撑组件。图2A为依据本文描述的实施方式的基板支撑组件104的分解图。基板支撑组件104包含基板支撑环107和基座103。基板支撑环107包含第一表面201和第二表面203,第二表面203与第一表面201相对。基板108在操作期间设置于基板支撑环107的第一表面201上,且更特别地,基板108的边缘与基板支撑环107接触。第二表面203包含至少三个突出202且每个突出202具有尖端204。尖端204可设置于基座103上。基座103可由碳化硅或涂布有碳化硅的石墨所制成,使得基座103可吸收来自设置在下方的灯102的辐射能量并加热基板108。尖端204可被弄尖,从而在基板支撑环107和基座103之间的接触区域可以非常小。此外,基板支撑环107可由具有低热传导率的材料(诸如石英)制成。因此,基板支撑环107和经加热的基座103之间的小的接触区域,使得基板108的不需要的边缘加热被最小化。
弯曲表面206(诸如弧形)可被形成于相邻的尖端204之间。由于弯曲表面206不包含任何的尖锐角度,所以弯曲表面206不具有任何的应力集中区域。此设计帮助维持基板支撑环107在升高的温度时的结构完整性。因此,突出202的最大数量可取决于弯曲表面206的弯曲度。太多的突出202可能导致在突出之间的有尖锐角度的表面。在一个实施方式中,存在有至少三个突出。因为基板108的边缘连续地与基板支撑环107的第一表面201接触(这防止处理气体流动越过基板108的背侧),因此在基板108上的背侧沉积被最小化。
基座103包含面对基板支撑环107的顶表面207。顶表面207可包含外侧部分208及内侧部分210。基板支撑环107可被设置于外侧部分208上。至少三个凹陷212(诸如孔或沟槽)可被形成于外侧部分208中,以控制基板支撑环107相对于基座103的定位。当基板支撑环107被放置于基座103上时,每个尖端204可被放置于设置在基座103的外侧部分208中的对应凹陷212中。当基座103在操作期间通过轴132(图1所示)而旋转时,基板支撑环107相对于基座103可以是静止的。内侧部分210可以是弯曲表面(如图2A及2B所示),或可以是实质平坦的表面(如图2C所示)。
图2B为依据本文描述的实施方式的支撑基板108的基板支撑组件104的截面侧视图。如图2B所示,基座103具有弯曲的内侧部分210。当基板108朝内侧部分210弯曲时,弯曲的内侧部分210确保基板108不会接触经加热的基座103。在此构造中,基板支撑环107的高度“H1”可相对地小,诸如在约3mm和约10mm之间。
图2C为依据本文描述的另一实施方式的支撑基板108的基板支撑组件104的截面侧视图。如图2C所示,基座103具有平坦的内侧部分210。因此,基板支撑环107的高度“H2”可大于高度“H1”,且高度“H2”可在约4mm与约10mm之间,以避免弯曲的基板108接触经加热的基座103。
图3A~3B绘示依据本文描述的实施方式的基板支撑组件104。图3A为依据本文描述的实施方式的基板支撑组件104的分解图。基板支撑组件104包含基板支撑环107和基座303。基座303包含面对基板支撑环107的顶表面307。顶表面307可包含外侧部分308及内侧部分310。沟槽304可形成于外侧部分308中且至少三个凹陷312形成于沟槽304中,以控制基板支撑环107相对于基座303的定位。当基板支撑环107被放置于沟槽304中时,每个尖端204可被放置于设置在沟槽304中的对应凹陷312中。沟槽的宽度可宽于基板支撑环107的第一表面201,因此基板支撑环107的一部分可位于基座303的顶表面307的下方。
图3B为依据本文描述的实施方式的基板支撑环107和基座303的截面图。如图3B所示,基板支撑环107设置于在基座303的外侧部分308中所形成的沟槽304中。在此构造中,第二表面203(图3A所示)设置于沟槽304的内侧并位于外侧部分308的下方。因此,弯曲206表面(诸如多个弧形)设置于沟槽304中且位于外侧部分308的下方。由于具有设置在外侧部分308的下方的弧形的结果,越过基板108的上表面116(图1所示)的处理气体的层流未被干扰。第一表面201和外侧部分308之间的距离“H3”可在约0.1mm与约0.5mm之间。
本文描述的基板支撑组件包含基座和设置于基座上的基板支撑环。基板支撑环可具有至少三个突出,且每个突出具有尖端。基板支撑环的尖端可与基座接触,且基板支撑环和基座之间的小的接触区域最小化设置在基板支撑环上的基板的边缘的不需要的加热。
虽然前述内容涉及本公开的实施方式,但是其它和进一步的实施方式可不背离本公开的基本范围进行设计,且本公开的范围由以下所附权利要求确定。

Claims (15)

1.一种设备,包括:
基座;及
基板支撑环,设置在所述基座的表面上,其中所述基板支撑环具有第一表面和第二表面,所述第一表面用以接收基板,所述第二表面与所述第一表面相对,其中所述第二表面具有至少三个突出,每个突出具有尖端,且每个尖端与所述基座接触。
2.如权利要求1所述的设备,其中所述基座的所述表面具有内侧部分及外侧部分,且所述基板支撑环设置于所述基座的所述表面的所述外侧部分上。
3.如权利要求2所述的设备,进一步包括至少三个凹陷,所述至少三个凹陷形成于所述基座的所述表面的所述外侧部分中,其中所述基板支撑环的每个尖端放置于对应的凹陷中。
4.如权利要求3所述的设备,进一步包括沟槽,所述沟槽设置于所述基座的所述表面的所述外侧部分中,其中所述至少三个凹陷形成于所述沟槽中。
5.如权利要求1所述的设备,其中所述基板支撑环进一步包含位于相邻尖端之间的弯曲表面。
6.如权利要求5所述的设备,其中所述弯曲表面为弧形。
7.如权利要求2所述的设备,其中所述内侧部分是平的,且所述基板支撑环具有约4mm和约10mm之间的高度。
8.如权利要求2所述的设备,其中所述内侧部分是弯曲的,且所述基板支撑环具有约3mm和约10mm之间的高度。
9.一种设备,包括:
腔室主体;及
基板支撑组件,设置于所述腔室主体中,其中所述基板支撑组件包括:
基座;和
基板支撑环,设置于所述基座的表面上,其中所述基板支撑环具有第一表面和第二表面,所述第一表面用以接收基板,所述第二表面与所述第一表面相对,其中所述第二表面具有至少三个突出,每个突出具有尖端,且每个尖端与所述基座接触。
10.如权利要求9所述的设备,其中所述基座的所述表面具有内侧部分及外侧部分,且所述基板支撑环设置于所述基座的所述表面的所述外侧部分上。
11.如权利要求10所述的设备,进一步包括至少三个凹陷,所述至少三个凹陷形成于所述基座的所述表面的所述外侧部分中,其中所述基板支撑环的每个尖端放置于对应的凹陷中。
12.如权利要求11所述的设备,进一步包括沟槽,所述沟槽设置于所述基座的所述表面的所述外侧部分中,其中所述至少三个凹陷形成于所述沟槽中。
13.一种设备,包括:
基座,具有表面,其中至少三个凹陷形成于所述基座的所述表面中;及
基板支撑环,设置于所述基座的所述表面上,其中所述基板支撑环具有第一表面和第二表面,所述第一表面用以接收基板,所述第二表面与所述第一表面相对,其中所述第二表面具有至少三个突出,每个突出具有尖端,且每个尖端设置于所述至少三个凹陷中的对应的凹陷中。
14.如权利要求13所述的设备,进一步包括沟槽,所述沟槽设置于所述基座的所述表面中,其中所述至少三个凹陷形成于所述沟槽中。
15.如权利要求13所述的设备,其中所述基板支撑环进一步包含位于相邻尖端之间的弯曲表面。
CN201580032737.4A 2014-07-10 2015-06-11 在化学气相沉积反应器中的基座的设计 Withdrawn CN106463453A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462023024P 2014-07-10 2014-07-10
US62/023,024 2014-07-10
PCT/US2015/035243 WO2016007253A1 (en) 2014-07-10 2015-06-11 Design of susceptor in chemical vapor deposition reactor

Publications (1)

Publication Number Publication Date
CN106463453A true CN106463453A (zh) 2017-02-22

Family

ID=55064670

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580032737.4A Withdrawn CN106463453A (zh) 2014-07-10 2015-06-11 在化学气相沉积反应器中的基座的设计

Country Status (6)

Country Link
US (1) US20160010208A1 (zh)
KR (1) KR20170030581A (zh)
CN (1) CN106463453A (zh)
SG (1) SG11201610304SA (zh)
TW (1) TWI646214B (zh)
WO (1) WO2016007253A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110364409A (zh) * 2018-04-09 2019-10-22 Asm知识产权私人控股有限公司 衬底支撑设备、包含其的衬底处理设备以及衬底处理方法

Families Citing this family (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
DE102016210203B3 (de) 2016-06-09 2017-08-31 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe, Verfahren zum Abscheiden einer epitaktischen Schicht auf einer Vorderseite einer Halbleiterscheibe und Halbleiterscheibe mit epitaktischer Schicht
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10450655B2 (en) 2017-10-27 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with multi-zone thickness control
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07202074A (ja) * 1993-12-27 1995-08-04 Sumitomo Kinzoku Ceramics:Kk 半導体装置用多層セラミックパッケージ
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
JP2002026189A (ja) * 2000-07-05 2002-01-25 Kyocera Corp 配線基板の製造方法
JP2007251017A (ja) * 2006-03-17 2007-09-27 Ngk Spark Plug Co Ltd 配線基板および多数個取り配線基板ならびにその製造方法
TW201131010A (en) * 2009-12-11 2011-09-16 Sumco Corp Tray for CVD and film forming method using the tray

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07202074A (ja) * 1993-12-27 1995-08-04 Sumitomo Kinzoku Ceramics:Kk 半導体装置用多層セラミックパッケージ
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
JP2002026189A (ja) * 2000-07-05 2002-01-25 Kyocera Corp 配線基板の製造方法
JP2007251017A (ja) * 2006-03-17 2007-09-27 Ngk Spark Plug Co Ltd 配線基板および多数個取り配線基板ならびにその製造方法
TW201131010A (en) * 2009-12-11 2011-09-16 Sumco Corp Tray for CVD and film forming method using the tray

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110364409A (zh) * 2018-04-09 2019-10-22 Asm知识产权私人控股有限公司 衬底支撑设备、包含其的衬底处理设备以及衬底处理方法

Also Published As

Publication number Publication date
WO2016007253A1 (en) 2016-01-14
TWI646214B (zh) 2019-01-01
US20160010208A1 (en) 2016-01-14
TW201602404A (zh) 2016-01-16
SG11201610304SA (en) 2017-01-27
KR20170030581A (ko) 2017-03-17

Similar Documents

Publication Publication Date Title
CN106463453A (zh) 在化学气相沉积反应器中的基座的设计
US10170342B2 (en) Flow controlled liner having spatially distributed gas passages
US10605530B2 (en) Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10269614B2 (en) Susceptor design to reduce edge thermal peak
US20160068996A1 (en) Susceptor and pre-heat ring for thermal processing of substrates
US20200241580A1 (en) Multi-channel flow ratio controller and processing chamber
US6759632B2 (en) Device for fast and uniform heating substrate with infrared radiation
KR101046043B1 (ko) 노용 다중 구역 히터
US9842753B2 (en) Absorbing lamphead face
CN114981475A (zh) 用于原子层沉积前驱物输送的喷头
KR20170102020A (ko) 웨이퍼 내의 퇴적 계곡들을 제거하기 위한 신규한 서셉터 설계
US20240055279A1 (en) Semiconductor processing chamber with filament lamps having nonuniform heat output
US20170316964A1 (en) Dome cooling using compliant material
KR102632472B1 (ko) 기판 지지대 및 그를 포함하는 기판처리장치
KR20190018891A (ko) 박막증착장치
KR19980037650A (ko) 반도체 소자의 박막 형성장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WW01 Invention patent application withdrawn after publication

Application publication date: 20170222

WW01 Invention patent application withdrawn after publication