KR20140095738A - 트랜지스터 및 그 제조 방법 - Google Patents

트랜지스터 및 그 제조 방법 Download PDF

Info

Publication number
KR20140095738A
KR20140095738A KR1020130008497A KR20130008497A KR20140095738A KR 20140095738 A KR20140095738 A KR 20140095738A KR 1020130008497 A KR1020130008497 A KR 1020130008497A KR 20130008497 A KR20130008497 A KR 20130008497A KR 20140095738 A KR20140095738 A KR 20140095738A
Authority
KR
South Korea
Prior art keywords
single crystal
germanium
film
channel
layer
Prior art date
Application number
KR1020130008497A
Other languages
English (en)
Inventor
양창재
김상수
최정달
허성기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020130008497A priority Critical patent/KR20140095738A/ko
Priority to US14/163,972 priority patent/US20140209976A1/en
Publication of KR20140095738A publication Critical patent/KR20140095738A/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F42AMMUNITION; BLASTING
    • F42DBLASTING
    • F42D5/00Safety arrangements
    • F42D5/04Rendering explosive charges harmless, e.g. destroying ammunition; Rendering detonation of explosive charges harmless
    • F42D5/055Silencing means for blasting operations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F42AMMUNITION; BLASTING
    • F42DBLASTING
    • F42D5/00Safety arrangements
    • F42D5/04Rendering explosive charges harmless, e.g. destroying ammunition; Rendering detonation of explosive charges harmless
    • F42D5/045Detonation-wave absorbing or damping means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

트랜지스터는 제1 에피택시얼막, 채널막, 게이트 구조물 및 불순물 영역을 포함한다. 상기 제1 에피택시얼막은 기판 상부에 형성된 리세스의 하부를 매립하며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함한다. 상기 채널막은 상기 제1 에피택시얼막 상에 배치되어 상기 리세스의 상부를 매립하며, 게르마늄(Ge) 단결정을 포함한다. 상기 게이트 구조물은 상기 채널막 상에 배치된다. 상기 불순물 영역은 상기 게이트 구조물과 인접한 상기 채널막 상부에 배치된다.

Description

트랜지스터 및 그 제조 방법{TRANSISTORS AND METHODS OF MANUFACTURING THE SAME}
본 발명은 트랜지스터 및 그 제조 방법에 관한 것이고, 보다 상세하게는 에피택시얼막을 포함하는 트랜지스터 및 그 제조 방법에 관한 것이다.
트랜지스터의 채널 영역에 인장 스트레스 혹은 압축 스트레스를 인가하여 전자 혹은 홀의 이동도를 증가시킴으로써, 상기 트랜지스터의 구동 전류 특성 및 동작 속도를 향상시키려는 시도가 행해지고 있다. 예를 들어, PMOS(P-channel Metal Oxide Semiconductor) 트랜지스터의 경우, 소스 및 드레인 사이의 채널 영역에 압축 스트레스를 인가하고, NMOS(N-channel Metal Oxide Semiconductor) 트랜지스터의 경우, 채널 영역에 인장 스트레스를 인가할 수 있다.
이에 따라, 트랜지스터의 채널 영역에 충분히 큰 스트레스를 인가할 수 있는 구조 및 물질의 개발이 요구되고 있다.
본 발명의 목적은 전하 이동도를 증가시키고 누설 전류를 감소시키는 트랜지스터를 제공하는데 있다.
본 발명의 다른 목적은 전하 이동도를 증가시키고 누설 전류를 감소시키는 트랜지스터를 제조하는 방법을 제공하는데 있다.
상술한 본 발명의 일 목적을 달성하기 위하여, 본 발명의 실시예들에 따른 트랜지스터는 제1 에피택시얼막, 채널막, 게이트 구조물 및 불순물 영역을 포함한다. 상기 제1 에피택시얼막은 기판 상부에 형성된 리세스의 하부를 매립하며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함한다. 상기 채널막은 상기 제1 에피택시얼막 상에 배치되어 상기 리세스의 상부를 매립하며, 게르마늄(Ge) 단결정을 포함한다. 상기 게이트 구조물은 상기 채널막 상에 배치된다. 상기 불순물 영역은 상기 게이트 구조물과 인접한 상기 채널막 상부에 배치된다.
예시적인 실시예들에 있어서, 상기 게르마늄-실리콘-주석 단결정은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 불순물 영역은 n형 불순물을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 에피택시얼막과 상기 채널막 사이에 배치되는 배리어막을 더 포함하고, 상기 배리어막은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 제1 에피택시얼막 아래에 배치되는 제2 에피택시얼막을 더 포함하고, 상기 기판은 실리콘 단결정을 포함하며, 상기 제2 에피택시얼막은 상기 게르마늄-실리콘-주석 단결정의 격자 상수보다 작고 상기 실리콘 단결정의 격자 상수보다 큰 격자 상수를 갖는 단결정을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 채널막과 상기 게이트 구조물 사이에 배치되며, 실리콘을 포함하는 캐핑막을 더 포함할 수 있다.
상술한 본 발명의 다른 목적을 달성하기 위하여, 본 발명의 실시예들에 따른 트랜지스터는 에피택시얼막, 돌출부, 채널막 패턴 및 게이트 구조물을 포함한다. 상기 에피택시얼막은 기판 상에 배치되며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함할 수 있다. 상기 돌출부는 상기 에피택시얼막의 상면으로부터 돌출되어 제1 방향으로 연장되며, 상기 에피택시얼막과 일체로 형성될 수 있다. 상기 채널막 패턴은 상기 돌출부 상에 배치되어 상기 제1 방향으로 연장되며, 게르마늄 단결정(Ge)을 포함할 수 있다. 상기 게이트 구조물은 상기 돌출부의 측벽, 상기 채널막의 상면 및 측벽 상에 배치되며, 상기 제1 방향에 수직하는 제2 방향으로 연장될 수 있다.
상술한 본 발명의 다른 일 목적을 달성하기 위하여, 본 발명의 실시예들에 따른 트랜지스터의 제조방법에서, 기판 상부를 부분적으로 제거하여 리세스를 형성한다. 상기 리세스 하부를 매립하며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함하는 제1 에피택시얼막을 형성한다. 상기 리세스 상부를 매립하며, 게르마늄(Ge) 단결정을 포함하는 채널막을 형성한다. 상기 채널막 상에 게이트 구조물을 형성한다. 상기 게이트 구조물에 인접한 상기 채널막 상부에 불순물을 주입한다.
예시적인 실시예들에 있어서, 상기 제1 에피택시얼막을 형성하는 단계는 실리콘 소스, 게르마늄 소스 및 주석 소스를 이용하는 선택적 에피택시얼 성장(SEG) 공정을 수행하는 단계를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 게르마늄-실리콘-주석 단결정은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 제1 에피택시얼막을 형성하는 단계 이후에, 상기 제1 에피택시얼막을 열처리하는 공정을 더 포함할 수 있다.
예시적인 실시예들에 있어서, 채널막을 형성하는 단계는 선택적 에피택시얼 성장 공정을 수행하여 상기 리세스를 매립하는 예비 채널막을 형성하는 단계 및 상기 예비 채널막을 평탄화하는 단계를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 채널막을 형성하는 단계 이전에, 상기 제1 에피택시얼막 상에 선택적 에피택시얼 성장 공정을 수행하여 배리어막을 형성하는 단계를 더 포함하고, 상기 배리어막은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 채널막을 형성하는 단계 이후에, 상기 채널막 상에 실리콘을 포함하는 캐핑막을 형성하는 단계를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 에피택시얼막을 형성하는 단계 이전에, 상기 리세스의 하부를 매립하는 제2 에피택시얼막을 형성하는 단계를 더 포함하고, 상기 기판은 실리콘 단결정을 포함하며, 상기 제2 에피택시얼막은 상기 게르마늄 실리콘 주석 단결정의 격자 상수보다 작고 상기 실리콘 단결정의 격자 상수보다 큰 격자 상수를 갖는 단결정을 포함할 수 있다.
도 1은 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다.
도 2 내지 도 7은 예시적인 실시예들에 따른 트랜지스터의 제조 방법을 설명하기 위한 단면도들이다.
도 8은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다.
도 9은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다.
도 10은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다.
도 11는 다른 예시적인 실시예들에 따른 트랜지스터를 나타내기 위한 단면도이다.
도 12 내지 도 17은 다른 예시적인 실시예들에 따른 트랜지스터의 제조 방법을 설명하기 위한 단면도이다.
도 18는 다른 예시적인 실시예들에 따른 트랜지스터를 나타내기 위한 사시도이다.
도 19는 또 다른 예시적인 실시예들에 따른 트랜지스터를 나타내기 위한 사시도이다.
도 20은 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정의 조성 변화에 따른 게르마늄 단결정과의 격자상수 차이를 계산한 그래프이다.
도 21은 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정의 조성 변화에 따른 에너지 밴드갭을 계산한 그래프이다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들에 따른 트랜지스터 및 그 제조 방법에 대하여 상세하게 설명하지만, 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 첨부된 도면에 있어서, 기판, 층(막), 영역, 패턴들 또는 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 전극, 패턴들 또는 구조물들이 기판, 각 층(막), 영역, 전극, 구조물들 또는 패턴들 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴들 또는 구조물들이 직접 기판, 각 층(막), 영역, 구조물 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴들 또는 다른 구조물이 기판 상에 추가적으로 형성될 수 있다. 또한, 물질, 층(막), 영역, 전극, 패턴들 또는 구조물들이 "제1", "제2" 및/또는 "예비"로 언급되는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 물질, 층(막), 영역, 전극, 패턴들 또는 구조물들을 구분하기 위한 것이다. 따라서 "제1", "제2" 및/또는 "예비"는 각 층(막), 영역, 전극, 패턴들 또는 구조물들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.
도 1은 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다.
도 1을 참조하면, 상기 트랜지스터는 기판(100) 상부에 형성된 제1 에피택시얼막(120), 채널막(130) 및 불순물 영역(160)과, 기판(100) 상에 형성된 게이트 구조물(140) 및 스페이서(150)을 포함한다.
기판(100)은 반도체 기판을 포함할 수 있다. 예를 들면, 기판(100)으로서 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판, SOI(silicon-on-insulator) 기판, GOI(germanium-on-insulator) 기판 등을 사용할 수 있다. 기판(100) 상부에는 소자분리막(110)이 형성되어 액티브 영역 및 필드 영역을 정의할 수 있다.
제1 에피택시얼막(120)은 기판(100) 상부에 형성된 제1 리세스(115)의 하부를 매립할 수 있다. 예시적인 실시예들에 있어서, 제1 에피택시얼막(120)은 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함할 수 있다.
상기 SixGe1-x-ySny 단결정의 격자상수는 그 조성에 의해서 조절될 수 있다. 도 20을 참조하면, Si의 농도가 증가할수록 상기 SixGe1-x-ySny 단결정의 격자상수는 감소하고, Sn의 농도가 증가할수록 상기 SixGe1-x-ySny 단결정의 격자상수는 증가한다. 한편, 도 20의 III-III' 라인은 Ge 단결정의 격자상수와 동일한 격자상수를 갖는 SixGe1-x-ySny 단결정의 조성을 나타낸다. 즉, III-III' 라인보다 Si의 농도가 감소하거나 Sn의 농도가 증가하는 경우(III-III' 라인의 우상 측의 조성), 제1 에피택시얼막(120)은 상기 Ge 단결정보다 큰 격자상수를 갖는 SixGe1-x-ySny 단결정을 포함한다.
한편, 상기 SixGe1-x-ySny 단결정의 에너지 밴드갭(energy bandgap)도 조성에 의해서 조절될 수 있다. 도 21을 참조하면, Si의 농도가 증가할수록 상기 SixGe1-x-ySny 단결정의 에너지 밴드갭은 증가하고, Sn의 농도가 증가할수록 상기 SixGe1-x-ySny 단결정의 에너지 밴드갭은 감소한다. 한편, 도 21의 IV-IV' 라인은 상기 Ge 단결정의 에너지 밴드갭과 동일한 에너지 밴드갭을 갖는 SixGe1-x-ySny 단결정의 조성을 나타낸다. 즉, IV-IV' 라인보다 Si의 농도가 증가하거나 Sn의 농도가 감소하는 경우(IV-IV' 라인의 좌하 측의 조성), 제1 에피택시얼막(120) 상기 Ge 단결정보다 큰 에너지 밴드갭을 갖는 SixGe1-x-ySny 단결정을 포함한다.
채널막(130)은 기판(100) 상부에 형성된 제1 리세스(115)의 상부를 매립할 수 있다. 이때, 채널막(130)의 상면은 기판(100) 또는 소자분리막(110)의 상면과 동일 평면 상에 있을 수 있다.
예시적인 실시예들에 있어서, 채널막(130)은 게르마늄(Ge) 단결정을 포함할 수 있으며, 제1 에피택시얼막(120)과 연속적인 격자 구조를 가질 수 있다. 상기 Ge 단결정은 Si 단결정과 비교하여 우수한 전자 및 정공 이동도를 가진다. 따라서, 채널 영역에 Ge 단결정을 포함하는 트랜지스터는 Si 단결정을 포함하는 트랜지스터보다 우수한 동작 속도를 가질 수 있다.
채널막(130)의 상기 Ge 단결정은 제1 에피택시얼막(120)의 상기 SixGe1-x-ySny 단결정보다 작은 격자상수를 가지고 있으므로, 채널막(130)은 제1 에피택시얼막(120)에 의해서 인장 스트레스를 인가 받을 수 있다. 이에 따라, 채널막(130)의 전자 이동도가 증가할 수 있다.
채널막(130)의 상기 Ge 단결정은 제1 에피택시얼막(120)의 상기 SixGe1-x-ySny 단결정보다 작은 에너지 밴드갭을 가지고 있으므로, 캐리어 구속 효과(carrier confinement effect)로 인해서 채널막(130)을 통해서 이동하는 전자들이 제1 에피택시얼막(120)으로 누설되지 않을 수 있다. 즉, 상기 트랜지스터의 누설 전류가 감소될 수 있다.
게이트 구조물(140)은 채널막(130) 상에 순차적으로 형성된 게이트 절연막 패턴(142), 게이트 전극(144) 및 게이트 마스크(146)를 포함할 수 있다. 예시적인 실시예들에 따르면, 게이트 절연막 패턴(142)은 HfO2, HfON, HfSi2O, HfSiO, HfSiON, HfAlO, HfLaO, La2O3 또는 이들의 혼합물과 같은 고유전 물질을 포함할 수 있다.
또한, 게이트 구조물(140)의 측벽 상에 스페이서(150)가 형성될 수 있다. 예를 들어, 스페이서(150)는 실리콘 산화물, 실리콘 질화물 및/또는 실리콘 산질화물을 포함할 수 있다. 예시적인 실시예들에 있어서, 스페이서(150)는 단층 구조 또는 2개 이상의 층이 순차적으로 적층된 복층 구조를 가질 수 있다.
불순물 영역(160)들은 게이트 구조물(140)에 인접한 채널막(130) 상부에 서로 이격되어 복수 개로 배치될 수 있다. 예시적인 실시예들에 있어서, 불순물 영역(160)은 인(P), 비소(As)와 같은 n형 불순물을 포함할 수 있다. 불순물 영역(160)들은 상기 트랜지스터의 소스/드레인 영역으로 역할을 할 수 있다.
예시적인 실시예들에 있어서, 상기 트랜지스터는 상기 Ge 단결정을 포함하는 채널막(130) 및 채널막(130) 하부에 배치되며 상기 SixGe1-x-ySny 단결정을 포함하는 제1 에피택시얼막(120)을 구비할 수 있다. 상기 SixGe1-x-ySny 단결정은 상기 Ge 단결정보다 큰 격자 상수를 가질 수 있으므로, 상기 Ge 단결정에 인장 스트레스를 가할 수 있으며, 이에 따라 전자 이동도가 증가할 수 있다. 또한, 상기 SixGe1-x-ySny 단결정은 상기 Ge 단결정보다 큰 에너지 밴드갭을 가질 수 있으므로, 누설 전류를 감소시킬 수 있다.
도 2 내지 도 7은 예시적인 실시예들에 따른 트랜지스터의 제조 방법을 설명하기 위한 단면도들이다.
도 2를 참조하면, 기판(100) 상부에 소자분리막(110)을 형성한 후, 기판(100)을 부분적으로 제거하여 제1 리세스(115)를 형성할 수 있다.
기판(100)은 반도체 기판을 포함할 수 있다. 예를 들면, 기판(100)으로서 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판, SOI(silicon-on-insulator) 기판, GOI(germanium-on-insulator) 기판 등을 사용할 수 있다.
소자분리막(110)은 기판(100)을 부분적으로 식각하여 제1 트렌치(도시되지 않음)을 형성하고, 상기 제1 트렌치를 매립하는 절연막을 기판(100) 상에 형성한 후, 기판(100) 상면이 노출될 때까지 상기 절연막을 평탄화하여 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 절연막은 MTO 산화물, HDP 산화물, CVD 산화물과 같은 실리콘 산화물을 사용하여 형성할 수 있다. 상기 평탄화 공정은 화학 기계적 연마(chemical mechanical polish: CMP) 공정 및/또는 에치 백(etch-back) 공정을 사용하여 수행될 수 있다. 소자분리막(110)이 형성됨에 따라, 기판(100)은 소자분리막(110)이 형성된 필드 영역과 소자분리막(110)이 형성되지 않은 액티브 영역으로 구분될 수 있다.
이후, 소자분리막(110)을 식각 마스크로 사용하거나, 소자분리막(110)과 기판(100) 상에 형성된 별도의 마스크(도시되지 않음)를 식각 마스크로 사용하는 건식 식각 공정을 수행하여 제1 리세스(115)를 형성할 수 있다. 예시적인 실시예들에 있어서, 제1 리세스(115)의 저면은 소자분리막(110)의 저면보다 높을 수 있다.
도 3을 참조하면, 기판(100) 및 소자분리막(110) 상에 제1 리세스(115)를 매립하는 제1 에피택시얼막(120)을 형성할 수 있다.
제1 에피택시얼막(120)은 제1 리세스(115)의 내벽 상에 선택적 에피택시얼 성장(selective epitaxial growth: SEG) 공정을 수행함으로써, 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함하도록 형성될 수 있다.
상기 SEG 공정은 실리콘(Si), 게르마늄(Ge) 및 주석(Sn) 소스 가스들을 사용하여 화학 기상 증착(chemical vapor deposition: CVD) 공정, 저압 화학 기상 증착(low pressure CVD: LPCVD) 공정, 고진공 화학 기상 증착(ultra high vacuum CVD: UHV-CVD) 등에 의해 수행될 수 있다. 예시적인 실시예들에 따르면, 제1 에피택시얼막(120)의 조성은 상기 소스 가스들의 주입량에 따라 조절될 수 있다.
상기 SixGe1-x-ySny 단결정은 조성 변경을 통해서 미리 정해진 격자상수 및 에너지 밴드갭(energy bandgap)을 가지도록 형성될 수 있다. 제1 에피택시얼막(120)은 Ge 단결정에 비해서 큰 격자상수와 에너지 밴드갭을 갖는 SixGe1-x-ySny 단결정을 포함할 수 있다.
앞서 도 1을 참조하여 언급한 바와 같이, 제1 에피택시얼막(120)은 도 20의 III-III' 라인의 우상 측의 조성 범위에 속하고, 도 21의 IV-IV'라인의 좌하 측의 조성 범위에 속하는 SixGe1-x-ySny 단결정을 포함할 수 있다.
이후, 열처리 공정을 수행하여 제1 에피택시얼막(120)의 내부응력을 완화할 수 있다. 이에 따라, 제1 에피택시얼막(120)의 내부에 존재하는 전위(dislocation)와 같은 결정 결함이 감소될 수 있다.
도 4를 참조하면, 제1 에피택시얼막(120) 상부를 제거할 수 있다.
제1 에피택시얼막(120) 상부는 에치-백 공정 또는 식각 공정을 통해서 제거될 수 있으며, 남은 제1 에피택시얼막(120)의 상면은 소자분리막(110)의 상면보다 낮게 위치할 수 있다. 이에 따라, 남은 제1 에피택시얼막(120)은 제1 리세스(115)의 하부만을 매립할 수 있다.
도 5를 참조하면, 제1 리세스(115) 상부를 매립하는 채널막(130)을 형성할 수 있다.
채널막(130)은 기판(100), 소자분리막(110) 및 제1 에피택시얼막(120) 상에 제1 리세스(115)를 매립하는 예비 채널막을 형성하고, 기판(100) 상면이 노출될 때까지 상기 예비 채널막을 평탄화하여 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 예비 채널막은 SEG 공정을 수행함으로써, Ge 단결정을 포함하도록 형성될 수 있다. 상기 평탄화 공정은 CMP 공정 및/또는 에치 백 공정을 사용하여 수행될 수 있다.
채널막(130)은 제1 에피택시얼막(120)과 연속되는 결정 구조를 가지고 있으며, 제1 에피택시얼막(120)보다 작은 격자 상수를 가질 수 있다. 이에 따라, 제1 에피택시얼막(120)은 채널막(130)에 인장 스트레스를 가할 수 있다.
한편, 채널막(130)은 제1 에피택시얼막(120)보다 좁은 에너지 밴드갭을 가질 수 있다. 이에 따라, 채널막(130)을 통해서 이동하는 전하(즉, 전자)가 제1 에피택시얼막(120)으로 누설되는 것을 방지할 수 있다.
도 6을 참조하면, 채널막(130) 상에 게이트 구조물(140)을 형성할 수 있다.
게이트 구조물(140)은 채널막(130) 및 소자분리막(110) 상에 게이트 절연막, 게이트 전극막, 게이트 마스크막을 순차적으로 형성한 후, 상기 게이트 마스크막, 상기 게이트 전극막 및 상기 게이트 절연막을 패터닝함으로써 형성될 수 있다. 이에 따라, 게이트 구조물(140)은 채널막(130) 상에 순차적으로 적층된 게이트 절연막 패턴(142), 게이트 전극(144) 및 게이트 마스크(146)를 포함한다. 예시적인 실시예들에 따르면, 게이트 구조물(140)은 채널막(130) 상에 복수 개로 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 게이트 절연막은 고유전율을 갖는 금속 산화물을 사용하여 CVD 공정, PECVD 공정, HDP-CVD 공정, ALD 공정 등을 통해서 형성될 수 있다. 예를 들어, 상기 게이트 절연막은 HfO2, HfON, HfSi2O, HfSiO, HfSiON, HfAlO, HfLaO, La2O3 또는 이들의 혼합물을 사용하여 형성될 수 있다.
이후, 기판(100) 및 소자분리막(110)상에 게이트 구조물(140)을 덮는 스페이서막(도시되지 않음)을 형성한 후, 상기 제1 스페이서막을 이방성 식각함으로써 게이트 구조물(140)의 측벽 상에 스페이서(150)를 형성한다. 스페이서(150)는, 예를 들어, 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물을 사용하여 형성할 수 있다.
도시되지는 않았으나, 상기 게이트 절연막을 형성하기 이전에, 채널막(130) 상에 계면 절연막(interfacial layer)(도시되지 않음)을 형성할 수 있다. 예시적인 일 실시예에 있어서, 상기 계면 절연막은 채널막(130)을 열산화하는 공정을 통해 형성할 수 있다. 즉, 상기 계면 절연막은 게르마늄 산화물을 포함할 수 있다.
도 7을 참조하면, 게이트 구조물(140)에 인접한 채널막(130) 상부에 불순물 영역(160)을 형성할 수 있다.
불순물 영역(160)은 게이트 구조물(140) 및 스페이서(150)를 불순물 주입 마스크로 이용하여, 기판(100)의 상부에 n형의 불순물을 주입하여 형성될 수 있다. 예시적인 실시예들에 있어서, 상기 n형 불순물은 인, 비소 등을 포함할 수 있다. 또한, 상기 n형 불순물들을 활성화시키기 위해서 추가적으로 열처리 공정을 수행할 수 있다. 이에 따라, 게이트 구조물(140) 및 불순물 영역(160)은 NMOS 트랜지스터를 정의할 수 있다.
예시적인 실시예들에 있어서, 기판(100)과 채널막(130) 사이에 형성된 제1 에피택시얼막(120)은 채널막(130)의 상기 Ge 단결정에 비해서 큰 격자상수와 에너지 밴드갭을 갖는 SixGe1-x-ySny 단결정을 포함할 수 있다. 이에 따라, 제1 에피택시얼막(120)은 채널막(130)에 인장 스트레스를 가하여, 전자 이동도를 증가시킬 수 있다. 또한, 제1 에피택시얼막(120)은 채널막(130)에 비해서 큰 에너지 밴드갭을 가지므로, 전자들이 제1 에피택시얼막(120)으로 누설되는 것을 방지할 수 있다.
도 8은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다. 상기 트랜지스터는 배리어막(122)을 제외하면 도 1을 참조로 설명한 트랜지스터와 실질적으로 동일하거나 유사한 구성들을 포함하므로, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 8을 참조하면, 상기 트랜지스터는 기판(100) 상에 형성된 게이트 구조물(140) 및 스페이서(150)와, 기판(100) 상부에 형성된 제1 에피택시얼막(120), 배리어막(122), 채널막(130) 및 불순물 영역(160)을 포함한다.
배리어막(122)은 제1 에피택시얼막(120)과 채널막(130) 사이에 배치될 수 있다. 배리어막(122)은 채널막(130)의 상기 Ge 단결정보다 큰 에너지 밴드갭을 갖는 단결정을 포함할 수 있다. 따라서 배리어막(122)은 캐리어 구속 효과로 인해서 채널막(130)으로부터의 누설 전류를 감소시킬 수 있다. 예시적인 실시예들에 있어서, 배리어막(122)은 실리콘-게르마늄(SizGe1-z) 단결정을 포함할 수 있다.
한편, 제1 에피택시얼막(120)은 채널막(130)의 Ge 단결정보다 큰 격자상수를 갖는 SixGe1-x-ySny 단결정을 포함한다. 이에 따라, 제1 에피택시얼막(120)은 채널막(130)에 인장 스트레스를 가할 수 있으며, 채널막(130)의 전자 이동도가 향상될 수 있다. 또한, 배리어막(122)이 제1 에피택시얼막(120) 상에 배치되므로, 상기 SixGe1-x-ySny 단결정의 에너지 밴드갭은 제한되지 않을 수 있다. 즉, 상기 SixGe1-x-ySny 단결정의 에너지 밴드갭은 상기 Ge 단결정의 에너지 밴드갭보다 크거나 작을 수 있다.
도시되지는 않았으나, 도 8을 참조하여 설명한 트랜지스터는 도 2 내지 도 7을 참조로 설명한 트랜지스터의 제조 방법과 유사한 방법을 통해서 형성될 수 있다. 다만, 배리어막(122)은 선택적 에피택시얼 성장 공정에 의해서 형성될 수 있다.
도 9은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다. 상기 트랜지스터는 캐핑막(132)을 제외하면 도 1을 참조로 설명한 트랜지스터와 실질적으로 동일하거나 유사한 구성들을 포함하므로, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 9를 참조하면, 상기 트랜지스터는 기판(100) 상에 형성된 게이트 구조물(140) 및 스페이서(150)와, 기판(100) 상부에 형성된 제1 에피택시얼막(120), 채널막(130), 캐핑막(132) 및 불순물 영역(160)을 포함한다.
캐핑막(132)은 채널막(130)과 게이트 구조물(140) 사이에 배치될 수 있다. 예시적인 실시예들에 있어서, 캐핑막(132)은 실리콘(Si)과 같은 반도체를 포함할 수 있다. 예를 들어, 캐핑막(132)이 실리콘을 포함하는 경우, 게이트 절연막 패턴(142) 또는 게이트 절연막 패턴(142)과 캐핑막(132) 사이에 위치하는 계면 절연막(도시되지 않음)은 실리콘 산화물을 포함할 수 있다. 이에 따라, 캐핑막(132)은 채널막(130)과 게이트 절연막 패턴(142)의 계면 특성을 향상시킬 수 있다.
도시되지는 않았으나, 도 9을 참조하여 설명한 트랜지스터는 도 2 내지 도 7을 참조로 설명한 트랜지스터의 제조 방법과 유사한 방법을 통해서 형성될 수 있다.
도 10은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 단면도이다. 상기 트랜지스터는 제2 에피택시얼막(112)을 제외하면 도 1을 참조로 설명한 트랜지스터와 실질적으로 동일하거나 유사한 구성들을 포함하므로, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 10을 참조하면, 상기 트랜지스터는 기판(100) 상에 형성된 게이트 구조물(140) 및 스페이서(150)와, 기판(100) 상부에 형성된 제1 에피택시얼막(120), 채널막(130), 제2 에피택시얼막(112) 및 불순물 영역(160)을 포함한다.
제2 에피택시얼막(112)은 제1 에피택시얼막(120) 아래에 배치될 수 있다. 제2 에피택시얼막(112)은 기판(100)을 구성하는 단결정의 격자 상수보다 크고, 상기 SixGe1-x-ySny 단결정의 격자 상수보다 작은 격자 상수를 갖는 단결정을 포함할 수 있다. 예시적인 실시예들에 있어서, 기판(100)이 Si 단결정을 포함하는 경우, 제2 에피택시얼막(112)은 게르마늄-실리콘(SizGe1-z) 단결정을 포함할 수 있으며, 제1 에피택시얼막(120) 및 기판(100)과 연속되는 격자구조를 가질 수 있다. 이에 따라, 제2 에피택시얼막(112)은 기판(100)이 제1 에피택시얼막(120)에 가하는 압축 스트레스를 완화할 수 있고, 제1 에피택시얼막(120) 내부에 존재하는 전위(dislocation)와 같은 격자 결함을 감소시킬 수 있다. 상기 격자 결함이 감소된 경우, 제1 에피택시얼막(120)은 채널막(130)으로 스트레스를 효과적으로 인가할 수 있다.
도시되지는 않았으나, 도 10을 참조하여 설명한 트랜지스터는 도 2 내지 도 7을 참조로 설명한 트랜지스터의 제조 방법과 유사한 방법을 통해서 형성될 수 있다. 다만, 제2 에피택시얼막(112)은 선택적 에피택시얼 성장 공정에 의해서 형성될 수 있다.
도 11는 예시적인 실시예들에 따른 트랜지스터를 나타내기 위한 단면도이다. 도 11에 도시된 상기 트랜지스터는 도 1을 참조로 설명한 NMOS 트랜지스터를 포함하는 CMOS 트랜지스터(complementary metal-oxide semiconductor)일 수 있다.
도 11을 참조하면, 상기 트랜지스터는 기판(200)의 제1 영역(I)에 형성된 NMOS 트랜지스터 및 기판(200)의 제2 영역(II)에 형성된 PMOS 트랜지스터를 포함한다.
상기 NMOS 트랜지스터는 기판(200)의 제1 영역(I) 상에 형성된 제1 게이트 구조물(240) 및 제1 스페이서(250)와 기판(200) 상부에 형성된 제1 에피택시얼막(220), 제1 채널막(230) 및 제1 불순물 영역(260)을 포함할 수 있다.
제1 게이트 구조물(240)은 기판(200) 상에 순차적으로 적층된 제1 게이트 절연막 패턴(242), 제1 게이트 전극(244) 및 제1 게이트 마스크(246)를 포함할 수 있다. 또한, 제1 게이트 구조물(240)의 측벽 상에는 제1 스페이서(250)가 형성될 수 있다.
제1 불순물 영역(260)들은 제1 게이트 구조물(240)에 인접한 기판(200) 상부에 서로 이격되어 복수 개로 배치될 수 있으며, 예를 들어, 인(P), 비소(As)과 같은 n형 불순물을 포함할 수 있다.
제1 채널막(230)은 제1 게이트 구조물(240) 아래에서 제1 불순물 영역(260)들 사이에 위치할 수 있으며, 게르마늄(Ge) 단결정을 포함할 수 있다.
제1 에피택시얼막(220)은 제1 채널막(230) 아래에서 제1 채널막(230)과 직접 접촉하도록 배치될 수 있다. 예시적인 실시예들에 있어서, 제1 에피택시얼막(220)은 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함하며, 상기 SixGe1-x-ySny 단결정의 조성은 도 1을 참조하여 설명한 SixGe1-x-ySny 단결정의 조성과 실질적으로 동일할 수 있다.
상기 PMOS 트랜지스터는 기판(200)의 제2 영역(II) 상에 형성된 제2 게이트 구조물(241) 및 제2 스페이서(251)과 기판(200) 상부에 형성된 제2 에피택시얼막(221), 제2 채널막(231) 및 제2 불순물 영역(261)을 포함할 수 있다.
제2 게이트 구조물(241)은 기판(200) 상에 순차적으로 적층된 제2 게이트 절연막 패턴(243), 제2 게이트 전극(245) 및 제2 게이트 마스크(247)를 포함할 수 있다. 또한, 제2 게이트 구조물(241)의 측벽 상에는 제2 스페이서(251)가 형성될 수 있다.
제2 불순물 영역(261)들은 제2 게이트 구조물(241)에 인접한 기판(200) 상부에 서로 이격되어 복수 개로 배치될 수 있으며, 예를 들어, 붕소(B), 갈륨(Ga)과 같은 p형 불순물을 포함할 수 있다.
제2 채널막(231)은 제2 게이트 구조물(241) 아래에서 제2 불순물 영역(261)들 사이에 위치할 수 있으며, 게르마늄(Ge) 단결정을 포함할 수 있다.
제2 에피택시얼막(221)은 제2 채널막(231) 아래에서 제2 채널막(231)과 직접 접촉하도록 배치될 수 있다. 예시적인 실시예들에 있어서, 제2 에피택시얼막(221)은 게르마늄-실리콘(SizGe1-z) 단결정을 포함하며, 상기 SizGe1-z 단결정은 제2 채널막(231)의 상기 Ge 단결정보다 작은 격자 상수와 큰 에너지 밴드갭을 가질 수 있다. 이에 따라, 제2 에피택시얼막(221)은 제2 채널막(231)에 압축 스트레스를 가하여, 제2 채널막(231)의 정공 이동도를 증가시킬 수 있다. 또한, 제2 에피택시얼막(221)의 캐리어 구속 효과로 인해서, 제2 채널막(231)을 통해 이동하는 정공들의 누설이 감소될 수 있다.
예시적인 실시예들에 있어서, 상기 NMOS 트랜지스터는 상기 SixGe1-x-ySny 단결정을 포함하는 제1 에피택시얼막(220)을 구비할 수 있다. 상기 SixGe1-x-ySny 단결정은 제1 채널막(230)의 상기 Ge 단결정에 인장 스트레스를 가하여, 전자 이동도를 증가시킬 수 있다. 한편, 상기 PMOS 트랜지스터는 상기 SizGe1-z 단결정을 포함하는 제2 에피택시얼막(221)을 구비할 수 있다. 상기 SizGe1-z 단결정은 제2 채널막(231)의 상기 Ge 단결정에 압축 스트레스를 가하여, 정공 이동도를 증가시킬 수 있다.
도 12 내지 도 17은 다른 예시적인 실시예들에 따른 트랜지스터의 제조 방법을 설명하기 위한 단면도이다. 도 12 내지 도 17을 참조로 설명하는 상기 트랜지스터의 제조 방법은 도 2 내지 도 7을 참조로 설명한 NMOS 트랜지스터를 포함하는 CMOS 트랜지스터일 수 있다.
도 12를 참조하면, 제1 영역(I) 및 제2 영역(II)으로 구분되는 기판(200) 상부에, 소자 분리막(210)을 형성하고, 기판(200)을 부분적으로 제거하여, 제1 리세스(215) 및 제2 리세스(216)를 형성할 수 있다.
구체적으로, 기판(200) 상부를 부분적으로 식각하여 제1 트렌치를 형성하고, 상기 제1 트렌치를 매립하는 절연막을 기판(200)상에 형성한 후, 기판(200) 상면이 노출될 때까지 상기 절연막을 평탄화하여 소자 분리막(210)을 형성하고, 소자 분리막(210) 또는 별도의 마스크(도시되지 않음)를 식각 마스크로 사용하는 건식 식각 공정을 수행하여 제1 리세스(215) 및 제2 리세스(216)를 각기 제1 및 제2 영역들(I, II)에 형성할 수 있다.
도 13을 참조하면, 기판(200)의 제2 영역(II) 상에 제1 포토레지스트 패턴(222)을 형성한 후, 기판(200)의 제1 영역(I) 상에 제1 리세스(215)를 매립하는 제1 에피택시얼막(220)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 에피택시얼막(220)은 SEG 공정을 수행하여 SixGe1-x-ySny 단결정을 포함하도록 형성될 수 있다. 상기 SixGe1-x-ySny 단결정은 도 1을 참조로 설명한 SixGe1-x-ySny 단결정과 동일하거나 유사한 조성을 가질 수 있다.
이후, 애싱 공정 등을 수행하여 제1 포토레지스트 패턴(222)을 제거할 수 있다.
도 14를 참조하면, 기판(200)의 제1 영역(I) 상에 제2 포토레지스트 패턴(223)을 형성한 후, 기판(200)의 제2 영역(II) 상에 제2 리세스(216)를 매립하는 제2 에피택시얼막(221)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제2 에피택시얼막(221)은 SEG 공정을 수행하여 SizGe1-z 단결정을 포함하도록 형성될 수 있다. 상기 SizGe1-z 단결정은 Ge 단결정보다 작은 격자 상수를 가질 수 있다.
이후, 애싱 공정 등을 수행하여 제2 포토레지스트 패턴(223)을 제거할 수 있으며, 열처리 공정을 수행하여 제1 에피택시얼막(220) 및 제2 에피택시얼막(221)의 내부 응력을 완화하고 결정 결함을 감소시킬 수 있다.
도 15를 참조하면, 제1 에피택시얼막(220) 및 제2 에피택시얼막(221)의 상부를 제거할 수 있다. 즉, 건식 식각 공정 또는 에치-백 공정을 수행하여, 제1 에피택시얼막(220) 및 제2 에피택시얼막(221)의 상부를 제거할 수 있다. 예시적인 실시예들에 있어서, 제1 에피택시얼막(220)의 상부를 제거하는 공정과 제2 에피택시얼막(221)의 상부를 제거하는 공정은 동시에 또는 순차적으로 수행될 수 있다. 이에 따라, 제1 및 제2 에피택시얼막(220, 221)은 각기 제1 및 제2 리세스(215, 216)의 하부만을 매립할 수 있다.
도 16을 참조하면, 제1 리세스(215) 및 제2 리세스(216) 상부를 각기 매립하는 제1 채널막(230) 및 제2 채널막(231)을 형성할 수 있다. 제1 및 제2 채널막들(230, 231)은 기판(200), 소자분리막(210) 제1 에피택시얼막(220) 및 제2 에피택시얼막(221) 상에 제1 리세스(215) 및 제2 리세스(216)를 매립하며 게르마늄 단결정을 포함하는 예비 채널막을 형성하고, 기판(200) 상면이 노출될 때까지 상기 예비 채널막을 평탄화하여 형성할 수 있다.
도 17을 참조하면, 기판(200)의 제1 및 제2 영역들(I, II) 상에 제1 및 제2 게이트 구조물들(240, 241)을 형성하고, 이들의 측벽 상에 제1 및 제2 스페이서(250, 251)를 형성한 후, 제1 및 제2 게이트 구조물들(240, 241)에 인접한 제1 및 제2 채널막들(230, 231) 상부에 각기 제1 및 제2 불순물 영역들(260, 261)을 형성할 수 있다.
제1 및 제2 게이트 구조물들(240, 241)은 제1 및 제2 채널막(230, 231) 및 소자분리막(210) 상에 게이트 절연막, 게이트 전극막 및 게이트 마스크막을 형성한 후 사진 식각 공정을 이용하여 이들을 패터닝함으로써, 기판(200)의 제1 영역(I) 상에 순차적으로 적층된 제1 게이트 절연막 패턴(242), 제1 게이트 전극(244) 및 제1 게이트 마스크(246)를 포함하는 제1 게이트 구조물(240)을 형성하고, 기판(200)의 제2 영역(II) 상에 순차적으로 적층된 제2 게이트 절연막 패턴(243), 제2 게이트 전극(245) 및 제2 게이트 마스크(247)를 포함하는 제2 게이트 구조물(241)을 형성할 수 있다.
이후, 제1 게이트 구조물(240) 및 제2 게이트 구조물(241)을 커버하는 스페이서막을 제1 및 제2 채널막(230, 231) 및 소자 분리막(210) 상에 형성하고, 상기 스페이서막을 이방성 식각함으로써, 기판(200)의 제1 영역(I) 상에 제1 게이트 구조물(240)의 측벽을 덮는 제1 스페이서(250) 및 기판(200)의 제2 영역(II) 상에 제2 게이트 구조물(241)의 측벽을 덮는 제2 스페이서(251)을 형성한다. 예를 들어, 상기 스페이서막은 실리콘 질화물과 같은 질화물을 사용하여 형성할 수 있다.
이후, 기판(200)의 제2 영역(II) 상에 제3 포토레지스트 패턴을 형성한 후에, 상기 제3 포토레지스트 패턴과 제1 게이트 구조물(240)을 불순물 주입 마스크로 사용하여, 제1 게이트 구조물(240)에 인접한 제1 채널막(230) 상부에 n형의 불순물을 주입하여 제1 불순물 영역(260)을 형성할 수 있다. 또한, 기판(200)의 제1 영역(I) 상에 제4 포토레지스트 패턴을 형성한 후에, 상기 제4 포토레지스트 패턴과 제2 게이트 구조물(241)을 불순물 주입 마스크로 사용하여, 제2 게이트 구조물(241)에 인접한 제2 채널막(231) 상부에 p형의 불순물을 주입하여 제2 불순물 영역(261)을 형성할 수 있다.
이 때, 제1 게이트 구조물(240) 및 제1 불순물 영역(260)은 NMOS 트랜지스터를 정의할 수 있으며, 제2 게이트 구조물(241) 및 제2 불순물 영역(261)은 PMOS 트랜지스터를 정의할 수 있다.
예시적인 실시예들에 있어서, 상기 NMOS 트랜지스터는 상기 SixGe1-x-ySny 단결정을 포함하는 제1 에피택시얼막(220)을 구비할 수 있다. 상기 SixGe1-x-ySny 단결정은 제1 채널막(230)의 상기 Ge 단결정에 인장 스트레스를 가하여, 전자 이동도를 증가시킬 수 있다. 한편, 상기 PMOS 트랜지스터는 상기 SizGe1-z 단결정을 포함하는 제2 에피택시얼막(221)을 구비할 수 있다. 상기 SizGe1-z 단결정은 제2 채널막(231)의 상기 Ge 단결정에 압축 스트레스를 가하여, 정공 이동도를 증가시킬 수 있다.
도 18은 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 사시도이다.
도 18을 참조하면, 상기 트랜지스터는 기판(300) 상에 형성된 제1 에피택시얼막(320), 제1 채널막 패턴(330), 제1 게이트 구조물(340) 및 제1 불순물 영역(360)을 포함할 수 있다.
제1 에피택시얼막(320)은 반도체를 포함하는 기판(300) 상에 배치될 수 있다. 제1 에피택시얼막(320)은 일체로 형성되며, 상면에 수직한 방향으로 돌출된 제1 돌출부(320a)을 포함할 수 있다. 제1 돌출부(320a)는 상기 상면에 평행한 제1 방향으로 연장될 수 있다. 예시적인 실시예들에 있어서, 제1 에피택시얼막(320) 및 제1 돌출부(320a)는 도 1을 참조하여 설명한 제1 에피택시얼막(120)과 실질적으로 동일하거나 유사한 물질을 포함할 수 있다. 즉, 제1 에피택시얼막(320)은 Ge 단결정보다 큰 격자 상수를 갖는 SixGe1-x-ySny 단결정을 포함할 수 있다.
제1 채널막 패턴(330)은 제1 에피택시얼막(320)의 제1 돌출부(320a) 상에 배치될 수 있다. 제1 채널막 패턴(330)은 제1 돌출부(320a)의 상면에 직접 접촉하도록 배치될 수 있으며, 상기 제1 방향으로 연장될 수 있다. 이에 따라, 제1 채널막 패턴(330)과 제1 돌출부(320a)는 상기 트랜지스터의 액티브 패턴(335)을 정의할 수 있다. 예시적인 실시예들에 있어서, 제1 채널막 패턴(330)은 Ge 단결정을 포함할 수 있다. 제1 채널막 패턴(330)은 제1 에피택시얼막(320)보다 격자 상수가 작은 단결정을 포함할 수 있으므로, 제1 채널막 패턴(330)은 제1 에피택시얼막(320)에 의해서 인장 스트레스를 인가 받을 수 있다. 이에 따라, 제1 채널막 패턴(330)의 전자 이동도가 증가될 수 있다.
제1 게이트 구조물(340)은 제1 에피택시얼막(320) 상에서 돌출된 액티브 패턴(335)을 덮도록 배치될 수 있다. 제1 게이트 구조물(340)은 미리 정해진 폭을 가지며, 상기 제1 방향에 수직한 제2 방향으로 연장할 수 있다. 예시적인 실시예들에 있어서, 제1 게이트 구조물(340)을 제1 게이트 절연막 패턴(342) 및 제1 게이트 전극(340)을 포함할 수 있다.
제1 게이트 절연막 패턴(342)은 제1 돌출부(320a)와 제1 채널막 패턴(330)의 측벽 및 제1 채널막 패턴(330)의 상면 상에 배치되며, 고유전 금속 산화물을 포함할 수 있다. 제1 게이트 전극(346)은 제1 게이트 절연막 패턴(342) 및 제1 에피택시얼막(320) 상에 배치될 수 있다.
도시되지는 않았으나, 기판(300)과 제1 에피택시얼막(320) 사이에는 응력을 완화하기 위한 에피택시얼막이 추가적으로 배치될 수 있다. 또한, 제1 에피택시얼막(320)의 제1 돌출부(320a)와 제1 채널막 패턴(330) 사이에는 누설 전류를 방지하기 위한 배리어막이 추가적으로 배치될 수 있다. 한편, 돌출된 액티브 패턴(335)과 게이트 절연막 패턴(320)의 사이에는 계면 특성을 향상시키기 위한 캐핑막이 추가적으로 배치될 수 있다.
한편, 제1 게이트 구조물(340)에 의해서 노출된 제1 채널막 패턴(330) 부분에는 제1 불순물 영역(360)이 배치될 수 있다. 제1 불순물 영역(360)은 n형 불순물을 포함할 수 있으며, 상기 트랜지스터의 소스/드레인 영역으로 역할을 할 수 있다.
예시적인 실시예들에 있어서, 돌출된 액티브 패턴(335)을 가지는 상기 핀구조 전계 효과 트랜지스터(FinFET)도 상기 SixGe1-x-ySny 단결정을 포함하는 제1 에피택시얼막(320)을 포함할 수 있다. 이에 따라, 전자 이동도를 증가시키고, 누설 전류를 감소시킬 수 있다.
도 19은 또 다른 예시적인 실시예들에 따른 트랜지스터를 설명하기 위한 사시도이다. 상기 트랜지스터는 NMOS 트랜지스터 및 PMOS 트랜지스터를 포함하는 CMOS 트랜지스터일 수 있다.
도 19를 참조하면, 상기 트랜지스터는 기판(300)의 제1 영역(V)에 형성된 NMOS 트랜지스터 및 기판(300)의 제2 영역(VI)에 형성된 PMOS 트랜지스터를 포함한다.
상기 NMOS 트랜지스터는 기판(300)의 제1 영역(V) 상에 형성된 제1 에피택시얼막(320), 제1 채널막 패턴(330), 제1 게이트 구조물(341) 및 제1 불순물 영역(360)을 포함할 수 있다. 다만, 상기 NMOS 트랜지스터는 도 18을 참조로 설명한 NMOS 트랜지스터와 실질적으로 동일하거나 유사하므로 이에 대한 상세한 설명은 생략한다.
한편, 상기 PMOS 트랜지스터는 기판(300)의 제2 영역(VI) 상에 형성된 제2 에피택시얼막(321), 제2 채널막 패턴(331), 제2 게이트 구조물(341) 및 제2 불순물 영역(361)을 포함할 수 있다. 또한, 제2 에피택시얼막(321) 상에는 일체로 형성된 제2 돌출부(321a)가 배치될 수 있으며, 제2 게이트 구조물(341)은 제2 게이트 절연막 패턴(343) 및 제2 게이트 전극(345)을 포함할 수 있다. 상기 PMOS 트랜지스터는 제2 에피택시얼막(321)과 제2 불순물 영역(361)을 제외하면, 상기 NMOS 트랜지스터와 실질적으로 유사하다.
제2 에피택시얼막(321)의 제2 돌출부(321a)는 제2 채널막(331)과 직접 접촉하도록 배치될 수 있다. 예시적인 실시예들에 있어서, 제2 에피택시얼막(321) 및 제2 돌출부(321a)는 게르마늄-실리콘(SizGe1-z) 단결정을 포함하며, 상기 SizGe1-z 단결정은 제2 채널막(231)의 상기 Ge 단결정보다 작은 격자 상수와 큰 에너지 밴드갭을 가질 수 있다. 이에 따라, 제2 에피택시얼막(321)은 제2 채널막(331)에 압축 스트레스를 가하여, 제2 채널막(331)의 정공 이동도를 증가시킬 수 있다.
한편, 제2 불순물 영역(361)은 붕소(B), 갈륨(Ga)과 같은 p형 불순물을 포함할 수 있다.
상술한 바와 같이, 상기 NMOS 트랜지스터는 제1 채널막(330)에 인장 응력을 가하는 제1 에피택시얼막(320)을 구비할 수 있으며, 상기 PMOS 트랜지스터는 제2 채널막(331)에 압축 응력을 가하는 제2 에피택시얼막(321)을 구비할 수 있다. 이에 따라, 각각의 트랜지스터에서 전하 이동도가 증가하고, 채널 특성이 향상될 수 있다.
도 20은 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정의 조성 변화에 따른 게르마늄 단결정과의 격자상수 차이를 계산한 그래프이다.
상기 그래프에서 X축은 SixGe1-x-ySny 단결정에서 실리콘(Si)의 농도를 나타내고, Y축은 SixGe1-x-ySny 단결정에서 주석(Sn)의 농도를 나타낸다. 한편, III-III' 라인은 Ge 단결정의 격자상수와 동일한 격자상수를 갖는 SixGe1-x-ySny 단결정의 조성을 나타낸다.
그래프 내부는 유사한 격자상수를 갖는 조성의 영역들로 구분된다. 예를 들어, A1은 Ge 단결정의 격자상수보다 0.016Å 내지 0.018Å만큼 큰 격자상수를 갖는 SixGe1-x-ySny 단결정의 조성의 영역을 나타내며, A7은 Ge 단결정의 격자상수보다 0.004Å 내지 0.008Å만큼 작은 격자상수를 갖는 SixGe1-x-ySny 단결정의 조성의 영역을 나타낸다.
도 21은 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정의 조성 변화에 따른 에너지 밴드갭을 계산한 그래프이다.
상기 그래프에서 X축은 SixGe1-x-ySny 단결정에서 실리콘(Si)의 농도를 나타내고, Y축은 SixGe1-x-ySny 단결정에서 주석(Sn)의 농도를 나타낸다. 한편, IV-IV' 라인은 Ge 단결정의 에너지 밴드갭과 동일한 에너지 밴드갭을 갖는 SixGe1-x-ySny 단결정의 조성을 나타낸다.
그래프 내부는 유사한 에너지 밴드갭을 갖는 조성의 영역들로 구분된다. 예를 들어, B1은 0.96eV 내지 0.99eV 사이의 에너지 밴드갭을 갖는 SixGe1-x-ySny 단결정의 조성의 영역을 나타낸다.
상술한 바와 같이 본 발명의 바람직한 실시예들을 참조하여 설명하였지만 해당 기술 분야에서 통상의 지식을 가진 자라면 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100, 200, 300: 기판 110, 210: 소자 분리막
112, 221, 321: 제2 에피택시얼막
115, 215: 제1 리세스
216: 제2 리세스
120, 220, 320: 제1 에피택시얼막
320a: 제1 돌출부 321a: 제2 돌출부
122: 배리어막 222: 제1 포토레지스트 패턴
223: 제2 포토레지스트 패턴 130: 채널막
230, 330: 제1 채널막 231, 331: 제2 채널막
132: 캐핑막 335: 제1 액티브 패턴
336: 제2 액티브 패턴 140: 게이트 구조물
240, 340: 제1 게이트 구조물 241, 341: 제2 게이트 구조물
142: 게이트 절연막 패턴
242, 342: 제1 게이트 절연막 패턴
243, 343: 제2 게이트 절연막 패턴
144: 게이트 전극 244, 344: 제1 게이트 전극
245, 345: 제2 게이트 전극 146: 게이트 마스크
246: 제1 게이트 마스크 247, 347: 제2 게이트 마스크
150, 250: 제1 스페이서 251: 제2 스페이서
160: 불순물 영역 260, 360: 제1 불순물 영역
261, 361: 제2 불순물 영역

Claims (10)

  1. 기판 상부에 형성된 리세스의 하부를 매립하며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함하는 제1 에피택시얼막;
    상기 제1 에피택시얼막 상에 배치되어 상기 리세스의 상부를 매립하며, 게르마늄(Ge) 단결정을 포함하는 채널막;
    상기 채널막 상에 배치되는 게이트 구조물; 및
    상기 게이트 구조물과 인접한 상기 채널막 상부에 배치된 불순물 영역을 포함하는 트랜지스터.
  2. 제1항에 있어서, 상기 게르마늄-실리콘-주석 단결정은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 갖는 것을 특징으로 하는 트랜지스터.
  3. 제1항에 있어서, 상기 불순물 영역은 n형 불순물을 포함하는 것을 특징으로 하는 트랜지스터.
  4. 제1항에 있어서, 상기 제1 에피택시얼막과 상기 채널막 사이에 배치되는 배리어막을 더 포함하고,
    상기 배리어막은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 갖는 것을 특징으로 하는 트랜지스터.
  5. 제1항에 있어서, 상기 제1 에피택시얼막 아래에 배치되는 제2 에피택시얼막을 더 포함하고,
    상기 기판은 실리콘 단결정을 포함하며, 상기 제2 에피택시얼막은 상기 게르마늄-실리콘-주석 단결정의 격자 상수보다 작고 상기 실리콘 단결정의 격자 상수보다 큰 격자 상수를 갖는 단결정을 포함하는 것을 특징으로 하는 트랜지스터.
  6. 제1항에 있어서, 상기 채널막과 상기 게이트 구조물 사이에 배치되며, 실리콘을 포함하는 캐핑막을 더 포함하는 것을 특징으로 하는 트랜지스터.
  7. 기판 상에 배치되며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함하는 에피택시얼막;
    상기 에피택시얼막의 상면으로부터 돌출되어 제1 방향으로 연장되며, 상기 에피택시얼막과 일체로 형성된 돌출부;
    상기 돌출부 상에 배치되어 상기 제1 방향으로 연장되며, 게르마늄 단결정(Ge)을 포함하는 채널막 패턴; 및
    상기 돌출부의 측벽, 상기 채널막의 상면 및 측벽 상에 배치되며, 상기 제1 방향에 수직하는 제2 방향으로 연장되는 게이트 구조물을 포함하는 트랜지스터.
  8. 기판 상부를 부분적으로 제거하여 리세스를 형성하는 단계;
    상기 리세스 하부를 매립하며, 게르마늄 단결정보다 큰 격자 상수를 갖는 게르마늄-실리콘-주석(SixGe1-x-ySny) 단결정을 포함하는 제1 에피택시얼막을 형성하는 단계;
    상기 리세스 상부를 매립하며, 게르마늄(Ge) 단결정을 포함하는 채널막을 형성하는 단계;
    상기 채널막 상에 게이트 구조물을 형성하는 단계; 및
    상기 게이트 구조물에 인접한 상기 채널막 상부에 불순물을 주입하는 단계를 포함하는 트랜지스터의 제조 방법.
  9. 제8항에 있어서, 상기 제1 에피택시얼막을 형성하는 단계는 실리콘 소스, 게르마늄 소스 및 주석 소스를 이용하는 선택적 에피택시얼 성장 공정을 수행하는 단계를 포함하는 것을 특징으로 하는 트랜지스터의 제조 방법.
  10. 제8항에 있어서, 상기 게르마늄-실리콘-주석 단결정은 상기 게르마늄 단결정보다 큰 에너지 밴드갭을 갖는 것을 특징으로 하는 트랜지스터의 제조 방법.
KR1020130008497A 2013-01-25 2013-01-25 트랜지스터 및 그 제조 방법 KR20140095738A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020130008497A KR20140095738A (ko) 2013-01-25 2013-01-25 트랜지스터 및 그 제조 방법
US14/163,972 US20140209976A1 (en) 2013-01-25 2014-01-24 Transistors and methods of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130008497A KR20140095738A (ko) 2013-01-25 2013-01-25 트랜지스터 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR20140095738A true KR20140095738A (ko) 2014-08-04

Family

ID=51221978

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130008497A KR20140095738A (ko) 2013-01-25 2013-01-25 트랜지스터 및 그 제조 방법

Country Status (2)

Country Link
US (1) US20140209976A1 (ko)
KR (1) KR20140095738A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170064463A (ko) * 2015-12-01 2017-06-09 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 주석 필름들을 형성하는 방법들 및 필름들을 포함하는 구조체들 및 디바이스들

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9299566B2 (en) * 2014-02-25 2016-03-29 Tsinghua University Method for forming germanium-based layer
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9929154B2 (en) * 2014-11-13 2018-03-27 United Microelectronics Corp. Fin shape structure
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR20160067640A (ko) * 2014-12-04 2016-06-14 삼성전자주식회사 헤테로구조를 갖는 반도체 소자 및 그 형성 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200181770A1 (en) * 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116314018B (zh) * 2023-05-23 2023-09-12 合肥晶合集成电路股份有限公司 一种半导体集成器件及其制作方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1856721A2 (en) * 2005-03-11 2007-11-21 The Arizona Board of Regents, A Body Corporate Acting on Behalf of Arizona State University NOVEL GeSiSn-BASED COMPOUNDS, TEMPLATES, AND SEMICONDUCTOR STRUCTURES
US8779462B2 (en) * 2008-05-19 2014-07-15 Infineon Technologies Ag High-ohmic semiconductor substrate and a method of manufacturing the same
CN103875079B (zh) * 2011-08-29 2017-12-12 Iqe公司 光伏器件
US8481410B1 (en) * 2012-01-31 2013-07-09 Globalfoundries Inc. Methods of epitaxial FinFET
CN103594506B (zh) * 2012-08-16 2017-03-08 中国科学院微电子研究所 半导体器件

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170064463A (ko) * 2015-12-01 2017-06-09 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 주석 필름들을 형성하는 방법들 및 필름들을 포함하는 구조체들 및 디바이스들

Also Published As

Publication number Publication date
US20140209976A1 (en) 2014-07-31

Similar Documents

Publication Publication Date Title
KR20140095738A (ko) 트랜지스터 및 그 제조 방법
US10998425B2 (en) FinFET structure and method for fabricating the same
US10340191B2 (en) Method of forming a fin structure of semiconductor device
US9230959B2 (en) FinFETs having dielectric punch-through stoppers
US8367498B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US7928474B2 (en) Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
KR102069275B1 (ko) 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
CN107958873B (zh) 鳍式场效应管及其形成方法
TWI668866B (zh) 半導體元件及其製作方法
US20180012992A1 (en) Semiconductor device and method of forming the same
US11610980B2 (en) Method for processing a FinFET device
CN108878361B (zh) 半导体器件及其制造方法
US20180197780A1 (en) Field effect transistor including strained germanium fins
US9281400B1 (en) Method of fabricating a semiconductor device with fin-shaped structures
CN105633152B (zh) 半导体结构及其制作方法
JP2011066042A (ja) 半導体装置とその製造方法
US9514996B2 (en) Process for fabricating SOI transistors for an increased integration density
JP2008066548A (ja) 半導体装置および半導体装置の製造方法
US20170243954A1 (en) Method of fabricating finfet device
CN115910794A (zh) 一种堆叠纳米片gaa-fet器件及其制作方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid