ITMI20070671A1 - Architettura circuitale su base organica e relativo metodo fi realizzazione - Google Patents

Architettura circuitale su base organica e relativo metodo fi realizzazione Download PDF

Info

Publication number
ITMI20070671A1
ITMI20070671A1 IT000671A ITMI20070671A ITMI20070671A1 IT MI20070671 A1 ITMI20070671 A1 IT MI20070671A1 IT 000671 A IT000671 A IT 000671A IT MI20070671 A ITMI20070671 A IT MI20070671A IT MI20070671 A1 ITMI20070671 A1 IT MI20070671A1
Authority
IT
Italy
Prior art keywords
structural portion
groups
active material
constituted
electron
Prior art date
Application number
IT000671A
Other languages
English (en)
Inventor
Angela Cimmino
Matteo Andrea Di
Original Assignee
St Microelectronics Srl
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by St Microelectronics Srl filed Critical St Microelectronics Srl
Priority to IT000671A priority Critical patent/ITMI20070671A1/it
Priority to US12/060,651 priority patent/US7960722B2/en
Publication of ITMI20070671A1 publication Critical patent/ITMI20070671A1/it
Priority to US13/101,903 priority patent/US8470633B2/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31786Of polyester [e.g., alkyd, etc.]

Description

“Architettura circuitale su base organica e relativo metodo di realizzazione”
DESCRIZIONE
Campo di applicazione
La presente invenzione si riferisce nel suo aspetto più generale al settore del elettronica e più in particolare si riferisce ad una architettura circuitale di natura organica detta anche su base organica e ad un relativo metodo di realizzazione.
Arte nota
Come è noto, architetture circuitali in dispositivi elettronici sono generalmente realizzate attraverso tecniche fotolitografiche.
In particolare, la tecnica fotolitografica o fotolitografia è un processo di natura fotografica che è impiegato per tracciare microgeometrie su un wafer di silicio, ed in microelettronica è utilizzata per trasferire su tale wafer il disegno di una fotomaschera.
La fotolitografia comprende essenzialmente tre operazioni principali le quali ancorché note, al fine di una migliore comprensione della presente invenzione, sono qui di seguito brevemente descritte.
Una prima operazione consiste nel disegnare la configurazione geometrica (disegno), da trasferire poi su scala microscopica, su un foglio in scala molto maggiore di quella microscopica finale in modo che il disegno di partenza possa essere eseguito con metodi e precisioni convenzionali.
Il disegno viene quindi fotografato e ridotto alla scala microscopica di reale interesse su una lastra di vetro o alti materiali, la cosiddetta fotomaschera.
La seconda operazione consiste nel trasferire il disegno della fotomaschera sullo strato superficiale del wafer di silicio (substrato), su cui si applica dapprima uno strato di emulsione fotosensibile, generalmente un polimero, detto fotoresist.
In tale operazione, il trasferimento del disegno è ottenuto proiettando con un fascio di luce ultravioletta il disegno della fotomaschera sullo strato di fotoresist e sviluppando poi con attacco chimico, secondo il normale metodo fotografico.
Quindi o vengono rimosse le porzioni del fotoresist non colpite dalla luce che risulteranno pertanto solubili nel bagno di sviluppo (litografia negativa), oppure vengono rimosse le porzioni di fotoresist impressionate e rese pertanto solubili nel bagno di sviluppo (litografia positiva).
Pertanto sul wafer risulterà riprodotta la geometria inizialmente disegnata sulla fotomaschera grazie alla foto incisione realizzata sul fotoresist attraverso la radiazione ultravioletta.
La terza operazione consiste nel sottoporre il substrato così trattato ad un processo chimico-fisico quale, ad esempio, diffusione di materiale drogante, oppure deposizione sottovuoto di nuovo materiale, oppure impiantazione ionica, attraverso il quale in corrispondenza delle porzioni non più coperte dal fotoresist, il substrato viene modificato in modo da crearvi prefissate caratteristiche desiderate per la conduzione elettronica.
Quindi anche le porzioni residue del fotoresist vengono rimosse chimicamente.
Le suddette operazioni vengono ripetute sullo stesso wafer tante volte, con differenti fotomaschere, quante sono le modifiche necessarie da apportare al materiale del substrato per realizzare strutture complesse che danno origine poi ai dispositivi elettronici.
Per quanto riguarda i suddetti fotoresist, vi è da dire che essi sono generalmente dei polimeri con pesi molecolari normalmente compresi tra 100,000 e 200,000 dalton le cui proprietà, tra le quali la viscosità, la temperatura di rammollimento, la temperatura di degradazione, sono ottimizzate a seconda del caso specifico, proprio agendo sul peso molecolare.
Una miscela di fotoresist convenzionale è tipicamente costituita da: una resina base, o binder, che garantisce proprietà meccaniche della miscela (adesione, la resistenza chimica etc.); un solvente che controlla alcune delle proprietà meccaniche (ad esempio la viscosità della miscela); e un materiale foto-attivo (photoactive compound PAC) che nello specifico è il fotoresist che a sua volta può essere ti tipo negativo o positivo, come meglio apparirà nel seguito.
Un fotoresist negativo viene utilizzato in processi di litografia negativa in cui, dopo esposizione a radiazione UV, un trattamento di etching chimico (attacco chimico) elimina la porzione di fotoresist non esposta alla luce.
In questo caso, la miscela di fotoresist, contenente i monomeri o gli oligomeri precursori per esposizione alla radiazione UV incidente subisce reazione di foto-polimerizzazione e/o di foto-reticolazione (crosslinking) .
La reticolazione del fotoresist determina un aumento del suo peso molecolare che induce una diminuzione della solubilità del fotoresist in taluni solventi.
Esempi di fotoresist negativi sono di seguito riportati, e comprendono:
- Miscele di alcheni e azidi o bisazidi.
Le azidi per azione dell'UV si foto-decompongono in specie altamente reattive e di natura radicalica, quali i nitreni.
Questi ultimi, reagendo con i siti reattivi insaturi degli alcheni portano alla formazione dei rispettivi polimeri, attraverso tre differenti possibili tipi di reazioni non selettive, corrispondenti a reazione di cicloaddizione a doppi legami, reazione di inserimento di legami C-H, e reazione di eliminazione di atomi di idrogeno.
Il poli(p-idrostirene) con bisazidi o azidi monofunzionali rappresenta una la tipica miscela di fotoresit.
- Poliammidi.
In questo caso i gruppi acidi del precursore del poliimmide, poli(acido amico) sono funzionalizzati con gruppi metacrilati e depositati in presenza di opportuni iniziatori fotoattivati non radicalici.
Le porzioni di fotoresist esposte subiscono quindi reazioni di reticolazione, mentre attraverso il trattamento con un opportuno solvente vengono rimosse le porzioni non esposte.
L’immagine risultante viene quindi sottoposta ad un trattamento termico (annealing) in modo da degradare le unità metacrilate.
Mediante ciclizzazione del poli(acido amico) si forma la più stabile poliammide.
- Polimeri che hanno in catena laterale gruppi maleimmidici capaci di dare reazioni di fotodimerizzazione.
- Copolimeri del tetratiofulvalene (TTF) e poli (clorometil stirene) (PSTFF).
In presenza di specie elettron-accettori (ad esempio alogenuri) e dopo esposizione a radiazione X, i comonomeri polimerizzano per reazioni a trasferimento di carica.
Un fotoresist positivo viene invece utilizzato in un procedimento di litografia positiva in cui, dopo esposizione a radiazione UV (profondo UV, estremo UV o radiazione a fascio elettronico [Electron beam radiation} un trattamento di etching chimico elimina la porzione di fotoresist esposta alla luce.
In questo caso, la miscela del fotoresist contenente il polimero subisce reazione di foto-degradazione a causa dell’esposizione alla radiazione UV incidente.
In tale reazione di degradazione, alcuni dei legami covalenti della catena polimerica si rompono ed il peso molecolare del polimero diminuisce.
I frammenti monomerici o oligomerici essendo maggiormente volatili e/o solubili nei solventi di etching vengono quindi successivamente eliminati.
Esempi noti di fotoresist positivi sono di seguito riportati e comprendono:
- Polimeri acrilati, metacrilati, loro rispettivi derivati fluorati e ossimici, e loro copolimeri, tra cui il polimetilmetacrilato (PMMA).
Un polimero ampiamente utilizzato nella fotolitografia a fascio elettronica [e-beam] poiché dotato di eccellenti proprietà di adattamento alla strato sottostante ad esso (rivestimento o coating] e di sviluppo è il poli(2 ,2,2- trifluoroetilmetacrilato .
- DQN, dove DQ rappresenta il composto foto-attivo diazochinone e N rappresenta il composto conosciuto con il nome di Novolac, una resina fenolica.
Dopo esposizione alla radiazione UV il legame C-N2fotoattivo del DQ, che rende il polimero insolubile nella miscela di deposizione, viene rotto, con liberazione di N2e formazione di un gruppo carbossilico che rende il fotoresit solubile.
- Polibutenesulfone (PBS) e copolimeri alchene-sulfonici quali, ad esempio, il PBS con il 5-esene-2-one, che ha mostrato in questa classe di polimeri ottime proprietà di coating, adesione e di immagine.
Dopo esposizione a radiazione UV lo scheletro polimerico viene rotto e i frammenti ottenuti convertono in solfuro diossido (SO2) e alcheni.
- Fotoresist positivi possono anche essere costituiti da miscele che dopo esposizione alla radiazione UV subiscono reazioni di degradazione foto-catalizzata.
In questi casi alla miscela viene aggiunto un sensibilizzatore, ovvero un additivo contenente gruppi fotolabili, spesso acid-generator fotoindotto, che catalizza la degradazione del polimero e la conseguente diminuzione del suo peso molecolare.
Esempio di questo tipo di fotoresist sono miscele di poli(acetaldeide) o poli{formaldeide) con il poli(vinilcloruro) e piccole quantità’ di molecole elettron-attrattori.
Da quanto sopra esposto, appare chiaro che nei procedimenti di foto litografia convenzionale come sopra descritti, un fotoresist costituisce un materiale sacrificale nel senso che, in tali procedimenti, uno strato di fotoresist viene depositato per poi essere rimosso, essendo il suo impiego finalizzato al trasferimento di una configurazione geometrica (disegno) da una fotomaschera ad uno strato di un diverso materiale sul quale è stato depositato.
In particolare vi è da notare che, in una struttura circuitale ottenuta mediante fotolitografia come sopra descritta, il fotoresist impiegato viene interamente rimosso nel corso del procedimento e pertanto la struttura circuitale finale non comprenderà alcuno strato di fotoresit.
Il fotoresist infatti viene interamente rimosso attraverso due distinte fasi, una prima fase di etching essendo effettuata dopo esposizione alla radiazione UV al fine di trasferire sul wafer il disegno desiderato, una seconda fase di etching essendo effettuata dopo che il wafer è stato sottoposto ad uno dei suddetti processi di natura chimicofisica, al fine di conferire alle porzioni di substrato non coperte dal fotoresist prefissate caratteristiche per la conduzione elettronica.
Pertanto, benché rispondenti allo scopo, vi è da notare che nel complesso i procedimenti noti per realizzare architetture circuitali attraverso l’impiego della fotolitografia e di fotoresit come sopra descritti, non sono esenti da inconvenienti tra i quali il principale consiste nel dovere effettuare un numero elevato di fasi come conseguenza dell’impiego di strati di fotoresist quale materiale sacrificale.
Vi è da considerare inoltre che, nel settore dell’elettronica ibrida ovvero in caso di strutture o architetture circuitali su base organica, sono previste ulteriori fasi di realizzazione e/o integrazione dei componenti organici nella particolare architettura circuitale da realizzare.
Scopo della presente invenzione è mettere a disposizione un metodo di realizzazione di architetture circuitali, ed in particolare architetture circuitali su base organica, che preveda un numero inferiore di fasi rispetto ai metodi ed ai procedimenti noti e che risulti economicamente conveniente potendo essere effettuato con tecniche consolidate e ampiamente utilizzate nell’arte di riferimento.
Sommario dell'invenzione
In breve, la presente invenzione mette a disposizione una architettura circuitale su base organica che comprende, quale elemento strutturale e componente attivo, almeno uno strato o porzione di materiale attivo fotosensibile, del tipo di polimeri fotosensibili (polimeri fotoresist), ed un relativo metodo dì realizzazione impiegante fotolitografia convenzionale, nel quale polimeri fotoresist non costituiscono materiale sacrificale nel senso sopra considerato.
Con componete attivo è qui inteso uno strato di materiale attivo del tipo suddetto, ovvero fotosensibile e di natura polimerica nel senso che il materiale subisce reazioni di polimerizzazione o di degradazione quando esposto a radiazione ultravioletta di prefissata lunghezza d’onda, il quale è inoltre attivo nel senso che è in grado di dare risposte elettriche opportune di tipo bistabile (materiale elettrobistabile), e/o in grado di realizzare sistemi o complessi organici a trasferimento di carica o che agisce come semiconduttore organico di tipo p o n, in particolare anche dopo essere stato depositato, aver subito irraggiamento UV e trattamento di etching secondo tecniche fotolitografiche convenzionali.
In pratica, il metodo di realizzazione di un’architettura circuitale su base organica secondo la presente invenzione comprende le fasi di:
mettere a disposizione uno strato di un prefissato materiale costituente un elettrodo di fondo;
depositare su detto elettrodo di fondo un film di un materiale attivo comprendente almeno una prima porzione strutturale avente un picco di assorbimento ad una prefissata lunghezza d’onda UV in cui tale prima porzione strutturale è fotoattivabile a detta prefissata lunghezza d’onda e la quale è costituita da monomeri o oligomeri che irradiati a detta prefissata lunghezza d’onda subiscono reazione di fotopolimerizzazione e/o foto-reticolazione o che, in alternativa, è costituita da un polimero che a detta prefissata lunghezza d’onda subisce reazione di foto-degradazione, ed almeno una seconda porzione strutturale elettricamente attiva o attivabile sostanzialmente trasparente a detta prefissata lunghezza d’onda UV;
esporre almeno una porzione di detto film di materiale attivo, attraverso una fotomaschera di prefissata geometria, ad una radiazione UV avente detta lunghezza d’onda UV, con foto-attivazione di detta porzione esposta di detto film;
trattare detto film di materiale attivo con un prefissato agente rimuovendo selettivamente una tra le due porzioni, rispettivamente esposta foto-attivata e non esposta, di detto film, con esposizione di una rispettiva porzione di detto elettrodo di fondo;
depositare un secondo strato di un prefissato materiale ottenendo un elettrodo di testa.
Una architettura così ottenuta costituisce una cosiddetta cella di memoria elementare.
Nel caso di architetture circuitali maggiormente complesse quali le architetture crossbar o quelle comprendenti una pluralità di tali celle di memoria, come ad esempio le architetture multistrato, il presente metodo prevede l’isolamento delle singole celle di memoria attraverso l’interposizione tra di esse di un materiale dielettrico.
In questo caso, tale materiale dielettrico è depositato “a monte” dell’elettrodo di testa sul quale a sua volta è depositato, in caso di architettura multistrato, un ulteriore film di materiale attivo con reiterazione delle fasi sopra descritte.
In particolare, per realizzare il suddetto isolamento il metodo secondo l’invenzione prevede la realizzazione del suddetto elettrodo di fondo su di un substrato e l’ulteriore fase di:
depositare sulla porzione non rimossa di detto film di materiale attivo e sulla porzione esposta di substrato un materiale dielettrico;
trattare detto materiale dielettrico con un prefissato agente con sua rimozione parziale ed esposizione selettiva di detta porzione di film di materiale attivo non rimossa;
quindi è realizzato l’elettrodo di testa.
Pertanto, l’architettura circuitale così ottenuta nelle sua diverse configurazioni comprende quale elemento strutturale e componente attivo una porzione del suddetto film di materiale attivo, il quale materiale attivo, in accordo con l’invenzione e come sopra anticipato, è qui da intendere sia come il materiale impiegato nel presente metodo secondo la suddetta fase in cui è depositato il film di materiale attivo (cioè non foto-attivato), sia il materiale ottenuto in seguito alla suddetta fase in cui esso è parzialmente esposto attraverso una fotomaschera alla radiazione UV di prefissata lunghezza d’onda (materiale foto-attivato o componente attivo).
I materiali attivi che possono essere utilizzati secondo l’invenzione comprendono polimeri (inclusi i rispettivi monomeri e oligoleri), miscele di polimeri, copolimeri e loro miscele intrinsecamente attivi o che fungono da matrice per molecole attive disperse in essa -cioè materiali compositi a matrice polimerica tra cui i nanocompositi; polimeri funzionalizzati mediante legami chimici o interazioni di non legame con molecole attive, miscele di fotoresist convenzionali in cui siano disperse molecole attive, dove per miscele di fotoresit convenzionali sono qui intese miscele di fotoresist commerciali, già esistenti, contenenti almeno un polimero, sia in forma di polimero che di monomeri o oligomeri, ed eventualmente un binder, una resina, un solvente, un iniziatore e simili.
Nei ambìto di certe forme di realizzazione, la presente invenzione prevede quale materiale attivo un polimero o una miscela di polimeri fotosensibili (fotoattivi) intrinsecamente elettrobistabili.
Nei ambito di certe forme di realizzazione, la presente invenzione prevede quale materiale attivo una dispersione di molecole elettro-bistabili (seconda porzione strutturale) in una matrice polimerica fotoattiva chimicamente inerte (prima porzione strutturale) .
Nei ambito di certe forme di realizzazione, la presente invenzione prevede quale materiale attivo una dispersione di molecole atte a realizzare sistemi o complessi a trasferimento di carica (seconda porzione strutturale) in una matrice polimerica fotoattiva chimicamente inerte (prima porzione strutturale).
Nei ambito di altre forme di realizzazione, la presente invenzione prevede quale materiale attivo una dispersione di molecole attive (seconda porzione strutturale) che formano con una matrice polimerica fotoattiva chimicamente inerte (prima porzione strutturale) un complesso a trasferimento di carica.
Nell’ambito di altre forme di realizzazione, la presente invenzione prevede quale materiale attivo un polimero fotoattivo (prima porzione strutturale) avente gruppi funzionali o molecolari elettrobistabili (seconda porzione strutturale) pendenti da, e legati chimicamente a, la sua catena principale.
Nell’ambito di altre forme di realizzazione, la presente invenzione prevede quale materiale attivo un polimero o un copolimero fotoattivo (prima porzione strutturale) avente almeno due differenti gruppi funzionali o molecolari (seconda porzione strutturale) legati chimicamente come gruppi pendenti alla catena principale del polimero fotoattivo, ed atti a realizzare reazioni a trasferimento di carica detti almeno due gruppi avendo indifferentemente uno carattere elettronaccettore, l’altro carattere elettron-donatore.
Nell 'ambito di altre forme di realizzazione, la presente invenzione prevede quale materiale attivo un polimero fotoattivo (prima porzione strutturale) avente chimicamente ad esso legate come gruppi molecolari pendenti molecole (seconda porzione strutturale) atte a realizzare con il polimero - ovvero con la catena polimerica principale -reazioni a trasferimento di carica.
Nell’ambito di altre forme di realizzazione, la presente invenzione prevede quale materiale attivo un polimero fotoattivo (prima porzione strutturale) avente gruppi funzionali o molecolari elettrobistabili (seconda porzione strutturale) inseriti e distribuiti lungo la catena principale del polimero che conferiscono all’intera struttura un carattere di elettro-bistabilità quando è applicato un voltaggio.
In pratica, in accordo con l’invenzione, impiegando la tecnica foto litografica convenzionale su un materiale polimerico attivo come quello sopra considerato, si ha una notevole semplificazione nell’intera procedura di realizzazione di memorie “non convenzionali” come i dispositivi di memoria organica, ed più in generale nella realizzazione di architetture circuitali in dispositivi elettronici su base organica, ad tipo TFT, con geometrie anche complesse.
Ulteriori caratteristiche e vantaggi di questa invenzione risulteranno maggiormente dalla descrizione dettagliata, accompagnata da alcuni esempi di realizzazione di architetture circuitali in dispositivi elettronici su base organica e di uso di un materiale attivo come sopra considerato quale elemento strutturale e componente attivo delle architetture circuitali secondo l’invenzione, fatta qui di seguito con riferimento ai disegni allegati, fomiti a titolo illustrativo e non limitativo.
Breve descrizione dei disegni
In tali disegni:
- La figura 1 mostra schematicamente alcune fasi del metodo per ottenere una architettura circuitale in un dispositivo elettronico su base organica secondo l’invenzione;
- la figura 2 mostra schematicamente alcune fasi del metodo di figura 1 secondo una variante di realizzazione dell’invenzione per realizzare una architettura circuitale in un dispositivo elettronico su base organica del tipo crossbar;
- la figura 3 mostra schematicamente alcune fasi del metodo di figura 1 secondo una ulteriore variante di realizzazione dei invenzione per realizzare una architettura circuitale in un dispositivo elettronico su base organica del tipo multistrato;
- la figura 4 mostra uno schema del materiale attivo impiegato secondo l’invenzione;
- la figura 5 mostra uno schema di una variante di realizzazione del materiale attivo impiegato nei invenzione;
- la figura 6 mostra uno schema di una ulteriore variante di realizzazione del materiale attivo impiegato nell 'invenzione;
- la figura 7 mostra un esempio di sintesi per ottenere la variante di realizzazione del materiale attivo di figura 6;
- la figura 8 mostra uno schema di sintesi per ottenere una ulteriore variante di realizzazione del materiale attivo impiegato nei invenzione;
- la figura 9 mostra un esempio di sintesi per ottenere il materiale attivo schematizzato in figura 8;
- la figura 10 mostra uno schema di sintesi per ottenere una ulteriore variante di realizzazione del materiale attivo impiegato nei invenzione;
- la figura 11 mostra uno schema di una ulteriore variante di realizzazione del materiale attivo impiegato nell’invenzione ed un relativo esempio.
- le figure 12 e 13 riportano in tabella 1 esempi di molecole e di relativi derivati aventi carattere elettron-accettore, utilizzabili in accordo con la presente invenzione.
- le figure da 14 a 22 riportano in tabella 2 esempi di molecole e di relativi derivati aventi carattere elettron-donatore, utilizzabili in accordo con la presente invenzione.
Descrizione dettagliata
Le fasi descritte di seguito non formano un flusso completo di un metodo per ottenere una cella di memoria organica e più in generale una architettura circuitale in un dispositivo elettronico su base organica secondo l’invenzione, e qui di seguito sono descritte solo quelle fasi necessarie ad un tecnico medio del settore per la comprensione dell’invenzione .
É opportuno notare, inoltre, che le figure rappresentano viste schematiche non disegnate in scala di porzioni della suddetta architettura durante alcune fasi del metodo secondo l’invenzione.
La presente invenzione può essere messa in pratica utilizzando le usuali tecniche impiegate nella fabbricazione dei dispositivi elettronici, in particolare la fotolitografia di tipo convenzionale di cui si è precedentemente discusso ed a cui si fa riferimento.
Con riferimento alla figura 1-d) una architettura circuitale del tipo di una cella di memoria su base organica ottenuta secondo il presente metodo è globalmente indicata con 1 .
L’architettura circuitale 1, cosiddetta architettura sandwich, comprende un elettrodo cosiddetto di fondo 2 costituito da uno strato di un primo materiale, ad esempio vetro-ITO, un metallo, un polimero conduttivo e simili materiali, un elettrodo di testa 3 costituito da porzioni discontinue di uno strato di un secondo materiale quale ad esempio silicio, vetro, un polimero conduttivo e simili materiali e un film discontinuo di materiale attivo 4 interposto tra ed a contatto con l’elettrodo di fondo 2 e l’elettrodo di testa 3.
La suddetta architettura circuitale 1 è ottenuta in accordo con il presente metodo attraverso le fasi di seguito descritte.
Innanzitutto viene reso disponibile in modo di per sé noto l’elettrodo di fondo 2 sul quale viene depositato un film di materiale attivo fotosensibile 4a, ad esempio tramite tecnica di spin-coating, o di spin-casting o attraverso altre tecniche convenzionali, come indicato in figura 1-a).
In accordo con l’invenzione il materiale attivo fotosensibile di tale film comprende almeno una prima porzione strutturale avente un picco di assorbimento ad una prefissata lunghezza d’onda UV che è fotosensibile a detta prefissata lunghezza d’onda ed è costituita da monomeri o oligomeri che irradiati a tale prefissata lunghezza d’onda subiscono reazione di foto-polimerizzazione e/o foto-reticolazione, o da un polimero che a tale prefissata lunghezza d’onda subisce reazione di foto-degradazione, ed almeno una seconda porzione strutturale elettricamente attiva o attivabile sostanzialmente trasparente a tale prefissata lunghezza d’onda UV.
Quindi il film di materiale attivo fotosensibile 4a, attraverso una fotomaschera 5 scelta opportunamente a seconda della geometria che si vuole realizzare, viene inciso mediante radiazione UV, come mostrato in figura 1-b).
In pratica, attraverso la fotomaschera 5 si espone almeno una porzione del film di materiale attivo fotosensibile 4a, con foto-attivazione di tale porzione esposta e conseguente fotopolimerizzazione o fotodegradazione del materiale attivo fotosensibile esposto, in dipendenza della sua natura.
Anche la lunghezza d’onda e la potenza dei irraggiamento sono scelte e ottimizzate a seconda del particolare materiale attivo impiegato.
Successivamente, il film di materiale attivo foto-attivato 4a è trattato con un prefissato agente che rimuove selettivamente una tra le porzioni, rispettivamente esposta alla radiazione e foto-attivata (fotolitografia positiva) o non esposta alla radiazione (fotolitografia negativa), con conseguente esposizione di una rispettiva porzione dell’elettrodo di fondo 2.
In particolare, nell’esempio della figura 1-c) sono state rimosse le porzioni esposte alla radiazione e foto-attivate, attraverso un trattamento che è definito etching e che viene eseguito con solventi opportuni.
Le porzioni restanti discontinue, cioè non rimosse, del film di materiale attivo fotosensibile 4 a sottoposto al trattamento di etching sono quelle precedentemente indicate con 4.
Quindi l’architettura circuitale in forma di cella di memoria su base organica secondo l’invenzione è completata mediante deposizione dell’elettrodo di testa 3, effettuata ad esempio tramite tecnica di evaporazione di un metallo, impiegando una opportuna maschera con ottenimento dell 'architettura 1.
In caso di architetture circuitali maggiormente complesse, quali ad esempio quelle cosiddette crossbar, in cui celle di memoria sono integrate in un dispositivo elettronico, il presente metodo prevede l’isolamento degli elementi di memoria di diverse celle, che viene ottenuto depositando tra essi, “a monte” dell’elettrodo di testa, un materiale isolante quale un polimero isolante.
In tal caso, con riferimento alla figura 2, un elettrodo di fondo in forma di una pluralità di spacer tra loro paralleli (array), globalmente indicato con 30, è realizzato (depositato) su di un substrato S, ad esempio di silicio o vetro.
L’elettrodo di fondo 30 è depositato, con l’ausilio di una prima fotomaschera avente finestre (disegno) sostanzialmente a rettangoli che riproducono il contorno degli spacer, mediante tecniche quali evaporazione termica, sputter, microtrasfer molding, microcontact printing o oltre tecniche note atte allo scopo - figura 2-a).
Quindi, in analogia a quanto sopra descritto dapprima è depositato il film di materiale attivo fotosensibile, indicato sempre con 4 a - figura 2-b), poi tale film di materiale attivo fotosensibile è inciso mediante radiazione UV - figura 2-c) ed infine esso è sottoposto a trattamento di etching per eliminare la porzione di film di materiale attivo sacrificale, esposta o non esposta, rispettivamente in un procedimento positivo o negativo - figura 2-d).
Tali operazioni schematizzate in figura 2 con i suddetti passaggi b) , c) e d) , determinano la formazione della porzione discontinua di film di materiale attivo restante indicata con 4 e posizionata sopra gli spacer dell’elettrodo di fondo 30. Il film di materiale attivo è stato inciso utilizzando una seconda maschera avente finestre sostanzialmente quadrate in modo da lasciare esposte prefissate porzioni degli spacer dell’elettrodo di fondo 30, come si può notare con riferimento alla veduta dall’alto (veduta top) della figura 2-d).
Successivamente, è depositato sulla porzione non rimossa del film di materiale attivo 4, sulle porzioni esposte degli spacer dell’elettrodo di fondo 30 e sulla porzione di substrato S esposta cioè non coperta dagli spacer dell’elettro di fondo 30 un film di materiale dielettrico 6 - figura 2-e).
Quale materiale dielettrico può essere depositato, ad esempio, il polietilentereftalato (PET) in m-cresolo, il policarbonato, il polietilnaftalato, il polistirene e simili materiali con proprietà dielettriche attraverso tecniche di casting o spin-casting.
Quindi, per liberare gli elementi di memoria attivi, cioè la porzione discontinua del film di materiale attivo, ovvero quella restante e non rimossa del film 4a precedentemente depositato, si effettua tramite un prefissato agente un trattamento di etching controllato quale ad esempio il trattamento noto e conosciuto come il RIE CF4 (fluoritebased reactìve ion etching) che permette la rimozione controllata (parziale) del film di materiale dielettrico fino alla liberazione, cioè fino all’esposizione, della suddetta porzione (singole porzioni discontinue) di film di materiale attivo non rimossa, come illustrato in figura 2-f) nella quale è mostrata anche la veduta top.
Infine in analogia a quanto precedentemente descritto viene depositato, superiormente alle porzioni esposte discontinue del film di materiale attivo 4 e del film di materiale dielettrico rimanente indicato con 6a, l’elettrodo di testa anche qui indicato con 3, il quale anch’esso è costituito da una pluralità di spacer.
Come indicato nella figura 2-g) tali spacer dell’elettrodo di testa 3 sono diretti ortogonalmente agli spacer dell’elettodo di fondo 30 e sono ottenuti attraverso la suddetta prima maschera ruotata di 90 gradi.
In tal modo è ottenuta una architettura circuitale 10 su base organica del tipo cosiddetto crossbar, mostrata in figura 2-g) anche nella veduta top.
Per archi te tture ancora più complesse il presente metodo prevede la reiterazione di alcune delle suddette fasi procedurali che possono essere ripetute n volte (n≥1) per realizzare architetture cosiddette crossbar multistrato (multilayer).
In tal caso, come illustrato nella figura 3, si parte daH’architettura crossbar 10 precedentemente descritta (figura 3-a)) sulla quale vengono ripetute, in particolare a partire dall'elettrodo di testa 3, le fasi sopra descritte e consistenti nella fase di depositare un film di materiale attivo 4a - figura 3-b), di esporre almeno una porzione del film di materiale attivo ad una radiazione UV - figura 3-c), di trattare il film di materiale attivo con un prefissato agente con sua parziale e selettiva rimozione e ottenimento del film discontinuo (porzioni discontinue) di materiale attivo 4 - figura 3-d), ed ancora nella fase di depositare sulla porzione non rimossa di tale film e sulla porzione esposta dei elettrodo di fondo un film di materiale dielettrico 6 - figura 3-e), il quale è poi trattato con un prefissato agente (etching controllato) con sua rimozione parziale che comporta l’esposizione selettiva della porzione discontinua di film di materiale attivo 4 non rimosso - figura 3-f)·
Quindi, sempre in analogia a quanto sopra descritto, si effettua la deposizione di un ulteriore elettrodo di testa 40 utilizzando la suddetta prima maschera ri-ruotata di 90, e cioè con il medesimo orientamento con cui sono stati ottenuti gli spacer dell’elettrodo di fondo 30, ottenendo i rispettivi spacer di tale ulteriore elettrodo di testa 40.
Tali fasi sono schematizzate nella figura 3, in cui nel rispettivo passaggio g) è mostrata una architettura multistrato 100 (bistrato) comprendente anche isolamento, tramite materiale dielettrico, degli spacer di tale ulteriore elettrodo di testa 40.
Generalizzando la struttura bistrato sopra descritta, si ha che una struttura multilayer ottenuta secondo l’invenzione comprende almeno due film discontinui di materiale attivo, in cui film discontinui di materiale attivo consecutivi sono separati da, ed hanno ciascuno una faccia a contatto con, un elettrodo di testa di ordine m - nella figura 3-g) corrispondente al elettrodo di testa 3 - le restanti relative facce essendo a contatto rispettivamente una con un elettrodo di ordine m-1 - nella stessa figura 3-g) corrispondente all’elettrodo di fondo 30 - e l’altra con un elettrodo di ordine m+1 - nella figura 3-g) corrispondente ai ulteriore elettrodo di testa 40 - in cui tra elettrodi di ordine consecutivo è compreso uno strato di materiale dielettrico.
Per quanto riguarda il suddetto materiale attivo fotosensibile, di seguito sono riportati alcuni esempi relativi alla sua struttura, alla sua costituzione ed al suo ottenimento.
È utile ricordare in principio e come precedentemente descritto che tale materiale attivo fotosensibile vantaggiosamente è costituito da materiale polimerico fotosensibile (inclusi i rispettivi monomeri e oligomeri) che comprende polimeri, miscele di polimeri, copolimeri e loro miscele intrinsecamente attivi o che fungono da matrice per molecole attive disperse in essa - cioè materiali compositi a matrice polimerica tra cui i nanocompositi; polimeri funzionalizzati mediante legami chimici o interazioni di non legame con molecole attive, miscele di fotoresist convenzionali in cui siano disperse molecole attive, dove per miscele di fotoresit convenzionali sono qui intese miscele di fotoresist commerciali, già esistenti, contenenti almeno un polimero, sia in forma di polimero che di monomeri o oligomeri, ed eventualmente un binder, una resina, un solvente, un iniziatore e simili.
- Secondo un primo esempio, tale materiale attivo è costituito da polimeri fotoattivi intrinsecamente elettrobistabili.
In tal caso possono essere utilizzati polimeri fotoattivi, commerciali o sintetizzati chimicamente, che siano intrinsecamente elettrobistabili .
Esempio di tali polimeri sono i derivati del PMMA, tra cui il polimetilmetacrilato - co-9-antracenilmetilmetacrilato, commerciale. - Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da una dispersione di molecole elettro-bistabili in un matrice polimerica fotoattiva.
In particolare, il polimero fotoattivo funge da matrice strutturale inerte nella quale vengono disperse le molecole elettrobistabili (molecole attive).
Esempi di molecole bistabili che possono essere utilizzate sono la fluoresceina e i suoi derivati, il DDQ (2,3-dicloro-5,6 diciano-pbenzochinone), il DDME {1,1-diciano-2,2-(4-dimetil amminofenil) etilene), Ni-Pc (nickel (II) ftalocianina tetrasulfonico acido tetrasodio), AIDCN (2-ammino-4, 5 imidazole dicarbonitrile), DMNDPA (N, N-dimetil-N- (3 -nitro benzildene)-p-fenilenediammina) .
Esempi di polimeri che posso essere utilizzati sono: per processi di litografia positiva il PMMA e rispettivi derivati fluorati e ossimminici;
per processi di litografia negativa polimeri o oligomeri fotoreticolabili possono essere: sistemi che contengono in catena laterale gruppi maleimmidici capaci di dare reazioni di fotodimerizzazione, sistemi contenenti gruppi azidoarilici in catena laterale che sfuriano la reazione di accoppiamento tra gruppi nitrenici a loro volta formatesi per irraggiamento dei derivati azidici; sistemi su base acrilica e metacrilica o vinil eterea conteneti in catena laterale gruppi calconici variamente sostituiti che sfruttano la reazione di fotociclizzazione dei doppi legami di tali raggruppamenti.
In alternativa si possono utilizzare anche fotoresist commerciali.
La figura 4 mostra uno schema di un tale materiale attivo in cui in una matrice polimerica del fotoresist sono disperse molecole elettro-bistabili.
- Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da una dispersione di molecole capaci di dare vita a una sistema a trasferimento di carica in una matrice polimerica fotoattiva.
In pratica, è impiegato un polimero fotoattivo che funge da matrice strutturale chimicamente inerte nel quale sono disperse almeno due differenti specie molecolari in grado di generare sistemi o complessi a trasferimento di carica, tali due differenti specie molecolari avendo indifferentemente una carattere elettron-donatore, l’altra carattere elettron-accettore .
Esempi di specie molecolari aventi le suddette proprietà sono riportate nella tabella 1 mostrata nelle figure 12 e 13 (specie e relativi derivati elettron-accettori) ed in tabella 2 mostrata nelle figure 14-22 (specie e relativi derivati elettron-donatori).
Una opportuna combinazione tra due o più specie indicate nelle suddette tabelle genera pertanto un sistema molecolare a trasferimento di carica.
Esempi di tale combinazione sono: tetracene / DDQ (2,3-dicloro-5,6 diciano-p-benzochinone), perilene/ C14Q (p-cloranile), tetracene /InC13 (Indio Tricloruro), Cu/TCNQ, MC/TCNQ, Fullerene-C60/ Metallo- Ftalocianine, Fullerene-C6Q/TTF.
Esempi di polimeri che posso essere utilizzati sono:
per processi di litografia positiva il PMMA e i sui derivati fluorati o ossimminici;
per processi di litografia negativa polimeri o oligomeri fotoreticolabili possono essere: sistemi che contengono in catena laterale gruppi maleimmidici capaci di dare reazioni di fotodimerizzazione; sistemi contenenti gruppi azidoarilici in catena laterale che sfuriano la reazione di accoppiamento tra gruppi nitrenici a loro volta formatesi per irraggiamento dei derivati azidici; sistemi su base acrilica e metacrilica o vinil eterea conteneti in catena laterale gruppi calconìci variamente sostituiti che sfruttano la reazione di fotociclizzazione dei doppi legami di tali raggruppamenti.
In alternativa si possono utilizzare anche fotoresist commerciali.
- Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da una dispersione di molecole attive che formano con una matrice polimerica un complesso a trasferimento di carica.
In pratica è utilizzato un polimero fotoresist che funge da matrice strutturale nella quale vengono disperse molecole in cui il polimero e le molecole sono scelti in modo tale che la miscela ottenuta, cioè il materiale composito risultante, dia luogo a processi a trasferimento di carica.
In tal caso il polimero e la specie molecolare attiva utilizzati hanno indifferentemente uno carattere elettron-accettore, l "altro carattere elettron-donatore, per cui opportune combinazioni di polimeri fotoattivi elettron-donatori (alcuni dei quali esistenti in commercio) con una o più molecole con carattere elettron-accettore (per esempio selezionate dalla Tabella 1 di cui sopra) o combinazioni di polimeri fotoattivi elettron-accettori con una o più molecole con carattere elettron-donatore (per esempio selezionate dalla Tabella 2 di cui sopra) danno vita a sistemi molecolari a trasferimento di carica.
Esempi di un sistema a trasferimento di carica di questo tipo comprendono: Fullerene-C60 disperso in un copolimero di tetratiofulvalene (TTF) e poli(clorometilstirene (PSTFF), polimetilmetacrilato - co-9-antracenilmetilmetacrilato (commerciale) in cui sono disperse una o piu' molecole selezionate dalla Tabella 1.
La figura 5 mostra uno schema di un materiale attivo realizzato secondo il presente esempio, in cui la matrice polimerica fotoattiva ha carattere elettron-donatore e nella quale sono disperse molecole di Fullerene-C60 elettron-accettore. È possibile notare all’interno del materiale composito così realizzato zone di microinterfaccia tra gli elementi elettron-donaroti e gli elementi elettronaccettori che sono atti, in seguito all’applicazione di un opportuno voltaggio, a dare luogo a reazioni a trasferimento di carica.
In alternativa si possono utilizzare anche fotoresist commerciali.
- Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da polimeri fotoattivi con molecole elettro-bistabili pendenti.
In pratica, i questo caso è previsto l’utilizzo di un polimero fotoattivo che reca gruppi funzionali o molecolari pendenti elettrobistabili.
Un tale materiale attivo può essere ottenuto:
mediante sintesi chimica, quindi per polimerizzazione di comonomeri funzionalizzati con gruppi funzionali o molecolari elettrobistabili;
per grafting (innesto) dei gruppi molecolari elettro-bistabili successivo alla sintesi della catena polimerica.
La figura 6 mostra uno schema di un materiale attivo secondo il presente esempio in cui un polimero fotoresist è funzionalizzato con gruppi funzionali o molecolari elettro-bistabili pendenti.
Esempi di polimeri funzionalizzati con molecole elettrobistabili comprendono: polimeri acrilati e/o metacrilati funzionalizzati con la fluoresceina e suoi derivati ad esempio la Rosa Bengala.
In commercio esistono molti polimeri e copolimeri funzionalizzati con gruppi cromoforici, fluorescenti e/o elettro-bistabili che possono essere utilizzati in accordo con invenzione, quali ad esempio: il poli(fluoresceina-O-acrilato) e il poli(metilmetacrilato - co-(fluoresceina O-acrilato)], il poli [metilmetacrilato-co- (fluoresceina O-metacrilato)], acquistabili presso la Sigma- Aldrich.
Alternativamente il polimero funzionalizzato può essere ottenuto mediante sintesi chimica, la cui strategia è schematizzata in figura 7 e può essere riassunta come segue:
la molecola elettro-bistabile (ad esempio un derivato delle fluoresceina elettrobistabile, Fl-X) è funzionalizzata con un gruppo funzionale reattivo, ad esempio il gruppo metilmetacrilico. La fluoresceina così modificata viene fatta reagire con una soluzione contenente il monomero precursore (ad esempio il metilmetacrilato); in tal modo si ottiene il polimero con la molecola elettro- bistabile legata covalentemente e pendente.
- Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da polimeri fotoattivi con molecole elettron-accetori e elettron-donatori pendenti atte a dare reazioni a trasferimento di carica.
In pratica è utilizzato un copolimero fotosensibele che reca gruppi funzionali pendenti capaci di dar luogo a reazioni a trasferimento di carica, in cui cioè le differenti unità polimeriche del copolimero recano gruppi funzionali con carattere rispettivamente elettronaccettore (A) ed elettron-donore (D).
Questo può essere ottenuto come più sotto riportato, e come è anche illustrato in figura 8 in cui è schematizzata la sintesi per ottenere il materiale attivo secondo il presente esempio, in cui un polimero fotoresist reca gruppi elettron-donatori ed elettron-accettori legati covalentemente allo scheletro polimerico:
mediante sintesi chimica, quindi per polimerizzazione di comonomeri funzionalizzati con gruppi elettron-attrattori e elettrondonatori - fìg. 8-a). I copolimeri che si ottengono, possono essere alternati (AD AD AD AD), irregolari (DAAADDAD) o a blocchi (AAAADDDD);
per auto-assemblaggio [self-assembly] tra lo scheletro polimerico e i gruppi stessi - fìg. 8- b);
per innesto successivo alla sintesi dello scheletro polimerico fig. 8-c).
I gruppi pendenti legati alla catena polimerica devono essere scelti in modo tale che diano, dopo voltaggio applicato, reazioni e trasferimento di carica intra-catena.
Un esempio di polimero funzionalizzato DA è il copolimero PMMA funzionalizzato con PCBM (A) e con carbazolo (D).
Un materiale attivo secondo il presente esempio può anche essere ottenuto con la strategia sintetica mostrata schematicamente in figura 9 in cui PCBM e carbazolo funzionalizzati “ad-hoc” rispettivamente con acrilato e metacrilato sono fatti reagire con una soluzione contenente il metilmetacrilato. Dalla polimerizzazione dei comonomeri si ottiene il sistema desiderato, ovvero il questo caso il poli(carbazolo-Ometacrilato)-co-(PCBM-acrilato).
Polimeri funzionlizzati DA secondo la figura 8-a) possono essere anche ottenuti con una miscela di polimeri / oligomeri reticolabili che contengono in catena laterale gruppi maleimmidici e specie A e D funzionalizzati con anidride maleica, succinica o maleimmidica. Questa miscela, per esposizione UV, subisce fotoreticolazione.
Gruppi elettron-attratori e gruppi elettron-donori funzionalizzati con anidride maleica o succinica, ad esempio l’N-1pirenilmaleimmide come specie elettron-donore e derivati succinici di trinitrifluorenone come specie elettron-accettori), possono reagire mediante reazione di grafting molecolare con polimeri fotosensibili che recano gruppi nucleofili tipo gruppi -NH2 (esempio di figura 8-c)).
- Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da polimeri fotoattivi con molecole pendenti che nel complesso sono in grado di dare luogo a reazioni a trasferimento di carica.
In pratica, in tal caso è utilizzo un polimero fotosensibile avente gruppi funzionali pendenti i quali, con il polimero stesso, danno luogo a reazioni a trasferimento di carica.
Polimeri funzionalizati in tal modo possono essere ottenuti come più sotto riportato, e come illustro in figura 10 in cui è schematizzata la sintesi per ottenere il materiale attivo secondo il presente esempio, in cui un polimero fotoresist reca gruppi elettrone donatori (o accettori) legati in modo covalente allo scheletro polimerico avente carattere elettron-accettore (o donatore) :
mediante sintesi chimica, quindi per polimerizzazione di monomeri funzionalizzati con le molecole selezionate - figura 10-a);
per auto-assemblaggio tra lo scheletro polimerico e la molecola stessa - figura 10-b);
per innesto successivo alla sintesi della catena polimerica -figura 10-c).
I gruppi molecolari legati alla catena polimerica devono essere scelti in modo da dare vita a fenomeni di trasferimento di carica tra la molecola e la catena polimerica sotto fazione di un potenziale elettrico.
- Secondo un ulteriore esempio il materiale attivo fotosensibile è costituito da polimeri fotoattivi con molecole elettro-bistabili lungo la catena.
In pratica, in tal caso è utilizzato un polimero fotosensibile con gruppi funzionali o molecolari lungo la catena polimerica (cioè compresi tra, e legati in modo covalente a, porzioni di catena) che conferiscono al polimero carattere di elettro-bistabilità quando e’ applicato un voltaggio.
La figura 11 -a) mostra uno schema di un materiale attivo secondo il presente esempio in cui un polimero fotoresist reca lungo la catena gruppi funzionali o molecolari elettro-bistabili.
Esempi di polimeri con gruppi elettro-bistabili intra-catena comprendo: derivati del PMMA copolimerizzati a fluoresceine o derivati dimetacrilati o acrilati, come mostrato in figura 11-b).
In definitiva, è utile rimarcare che il materiale attivo fotosensibile impiegato in accordo con l’invenzione comprende una specie polimerica (relativi monomeri o oligomeri inclusi), almeno una porzione della quale è fotosensibile ed è stata qui definita, come precedentemente riportato, prima porzione strutturale, ed una seconda porzione strutturale elettricamente attiva o attivabile nel senso sopra considerato, che secondo i casi è costituita da una diversa porzione della specie polimerica, o da gruppi funzionali o molecolari legati covalentemente alla specie polimerica, o da molecole disperse nella matrice polimerica formata da tale specie polimerica.
Importante è che nella realizzazione del materiale attivo come qui considerato, la seconda porzione strutturale (porzione elettricamente attiva o attivabile), il più delle volte costituita da gruppi funzionali, molecolari, o molecole attive, non assorba alla lunghezza d’onda della radiazione UV capace di attivare i processi di foto polimerizzazione o fotodegradazione della prima porzione strutturale, che invece è sempre di natura polimerica.
Infine, vi è da aggiungere che il materiale attivo fotosensibile impiegato in accordo con la presente invenzione preferibilmente deve possedere anche una buona resistenza chimica rispetto ai solventi di etching impiegati; questo in particolare deve valere per la componente ad alto peso molecolare e cioè la componente foto reticolata in litografia negativa o la miscela polimerica di base in litografia positiva.
Inoltre, il materiale attivo fotosensibile deve possedere buone proprietà di adesione al particolare substrato su cui viene depositato, di film-forming ovvero si deve adattare bene alla topografia ad esso sottostante e una viscosità, da ottimizzare sperimentalmente, che ne faciliti la deposizione della sua miscela e che garantisca una buona dispersione delle molecole eventualmente disciolte in essa.
In sostanza, la presente invenzione consente la realizzazione di dispositivi elettronici di natura organica (polimerica) utilizzando la convenzionale tecnica della fotolitografia., senza apportare alcuna modificazione alle fasi previste nell’impiego di tale tecnica ma riducendone il numero, ovvero la necessità di realizzare alcuni step intermedi.
La foto litografia convenzionale consente di ottenere, con miscele polimeriche opportune, i fotoresit, strutture patternate (cioè disegnate e modellate secondo una prefissata geometria) altamente precise e riproducibili, la cui risoluzione è legata alla natura della sorgente utilizzata.
I fotoresisit sono elementi sacrificali che servono per costruire, mediante tecniche di crescita tipo epitassia, le geometrie (disegni) desiderate. In altre parole i foto resist pattemati costituiscono un mezzo, ottenuto mediante fotolitografia convenzionale, che a sua volta è utilizzato per realizzare le desiderate architetture ma che ad architettura ottenuta non è compreso in essa in quanto è un elemento sacrificale.
Nella presente invenzione, invece, le strutture pattemate realizzate utilizzando il materiale attivo fotosensibile come qui considerato in luogo del comune fotoresist, diventano esse stesse gli elementi attivi di dispositivi organici (tipo memorie, o TFT) a geometria definita.
Pertanto il principale vantaggio conseguito dalla presente invenzione è rappresentato dalla possibilità di realizzare architetture circuitali su base organica, con particolare riferimento alle memorie non convenzionali e comunque architetture circuitali con strutture a qualsiasi tipo di complessità utilizzando la tradizionale fotolitografia ma riducendone il numero di fasi, e pertanto riducendone costi e tempo di realizzazione.
Ad oggi le tecniche per ottenere film polimerici organici pattemati (disegnati secondo la desiderata geometria) sono la stampa tipo inkjet e la soft-lithography.
La presente invenzione consente invece di creare film polimerici elettricamente attivi e pattemati sfruttando tecniche fotolitografiche convenzionali.
Vantaggiosamente vi è poi da notare che molti dei polimeri e dei monomeri indicati nella presente invenzione sono commerciali, quindi facilmente reperibili e a costo basso.

Claims (1)

  1. RIVENDICAZIONI 1. Metodo di realizzazione di un’architettura circuitale su base organica caratterizzato dal fatto di comprendere le fasi di: a) mettere a disposizione uno strato di un prefissato materiale costituente un elettrodo di fondo; b) depositare su detto elettrodo di fondo un film di un materiale attivo comprendente almeno una prima porzione strutturale avente un picco di assorbimento ad una prefissata lunghezza d’onda UV detta prima porzione strutturale essendo fotoattivabile a detta prefissata lunghezza d’onda ed essendo costituita da monomeri o oligomeri che irradiati a detta prefissata lunghezza d’onda subiscono reazione di fotopolimerizzazione e/o foto-reticolazione, o da un polimero che a detta prefissata lunghezza d’onda subisce reazione di foto-degradazione, ed almeno una seconda porzione strutturale elettricamente attiva o attivabile sostanzialmente trasparente a detta prefissata lunghezza d’onda UV; c) esporre almeno una porzione di detto film di materiale attivo, attraverso una fotomaschera di prefissata geometria, ad una radiazione UV avente detta lunghezza d’onda UV, con foto- attivazione di detta porzione esposta di detto film; d) trattare detto film di materiale attivo con un prefissato agente rimuovendo selettivamente una tra le due porzioni, rispettivamente esposta foto-attivata e non esposta, di detto film, con esposizione di una rispettiva porzione di detto elettrodo di fondo; e) depositare un secondo strato di un prefissato materiale ottenendo un elettrodo di testa; 2. Metodo secondo la rivendicazione 1, in cui in cui detto elettrodo di fondo è realizzato su di un substrato ed in cui tra dette fasi d) ed e) è compresa l’ulteriore fase f) includente: depositare sulla porzione non rimossa di detto Film di materiale attivo e sulla porzione di substrato esposta un materiale dielettrico; trattare detto materiale dielettrico con un prefissato agente con sua rimozione parziale ed esposizione selettiva di detta porzione di film di materiale attivo non rimossa. 3. Metodo secondo la rivendicazione 2, in cui detti elettrodi di fondo e di testa sono realizzati in forma rispettivamente di un primo array comprendente spacer tra loro paralleli e di un secondo array comprendente spacer tra loro paralleli, in cui gli spacer di detti primo e secondo array sono tra loro ortogonali, con ottenimento di una architettura crossbar. 4. Metodo secondo la rivendicazione 3, in cui successivamente alla realizzazione di detto elettrodo di testa, sono ripetute n volte (con n >1) le suddette fasi di: depositare un film di materiale attivo, esporre almeno una porzione di detto film ad una radiazione UV e trattare detto film con un prefissato agente con sua parziale e selettiva rimozione; e di: depositare sulla porzione non rimossa di detto film e sulla porzione esposta dì detto elettrodo di testa un materiale dielettrico, trattare detto materiale dielettrico con un prefissato agente con sua rimozione parziale ed esposizione selettiva della porzione di film di materiale attivo non rimossa; e di realizzare un elettrodo n-esimo in forma di un array comprendente spacer tra loro paralleli, in cui detti spacer dei elettrodo n-esimo sono ortogonali agli spacer dell’elettrodo di ordine (n-1), con ottenimento di una architettura multi- strato. 5. Metodo secondo una qualsiasi delle rivendicazioni precedenti, in cui detti elettrodi di fondo e di testa sono depositati attraverso una fotomaschera di prefissata geometria mediante una tra le tecniche includenti evaporazione termica, sputter, microtransfer molding, microcontact printing. 6. Metodo secondo una qualsiasi delle rivendicazioni precedenti, in cui detto strato di materiale attivo è depositato mediante tecnica di spin-coating o spin-casting. 7. Metodo secondo una qualsiasi delle rivendicazioni precedenti, in cui detti monomeri o oligomeri foto-polimerizzati e/o fotoreticolati generano un polimero intrinsecamente elettrobistabile, o in cui detto polimero foto-degradato è intrinsecamente elettrobistabile. 8. Metodo secondo la rivendicazione 7, in cui detto materiale attivo è un derivato del polimetilmetacrilato (PMMA). 9. Metodo secondo la rivendicazione 8, in cui detto derivato del PMMA è il polimetilmetacrilato-co-9-antracenilmetilmetacrilato. 10. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detto materiale attivo è un materiale composito in cui detta prima porzione strutturale è una matrice chimicamente inerte per detta seconda porzione strutturale in essa dispersa, ed in cui detta seconda porzione strutturale è costituita da molecole elettro-bistabili. 11. Metodo secondo la rivendicazione 10, in cui detti monomeri o oligomeri contengono in catena laterale gruppi maleimmidìci, gruppi azidoarilici, o sono costituiti da fotoresist convenzionale o sono composti su base acrilica e/o metacrilica e/o vinil eterea contenti in catena laterale gruppi calconici eventualmente sostituiti, ed in cui detto polimero è scelto dal gruppo comprendente PMMA e suoi derivati fluorati e/o ossimminici o è costituito da fotoresist convenzionale. 12. Metodo secondo la rivendicazione 10 o 11, in cui dette molecole elettrobistabili sono scelta dal gruppo comprendete fluoresceina e suoi derivati,, DDQ (2,3-dicloro-5,6 diciano-pbenzochinone), DDME (l,l-diciano-2,2-(4-dimetil amminofenil) etilene), Ni-Pc (nickel (II) ftalocianina tetrasulfonico acido tetrasodio), AIDCN (2-ammino-4,5 imidazolo dicarbonitrile), DMNDPA (N,N-dimetil-N-(3-nitro benzilidene) - p-fenilenediammina) . 13. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detto materiale attivo è un materiale composito in cui detta prima porzione strutturale è una matrice chimicamente inerte per detta seconda porzione strutturale in essa dispersa, ed in cui detta seconda porzione strutturale comprende almeno due specie molecolari, rispettivamente aventi carattere elettron-accettore ed elettron-donatore, dette almeno due specie molecolari generando un sistema a trasferimento di carica. 14. Metodo secondo la rivendicazione 13, in cui detti monomeri o oligomeri contengono in catena laterale gruppi maleimmidici, gruppi azidoarilici, o sono costituiti da foto resisi convenzionale o sono composti su base acrilica e/o metacrilica e/o vinil eterea conteneti in catena laterale gruppi calconici eventualmente sostituiti, ed in cui detto polimero è scelto dal gruppo comprendente PMMA e sui derivati fluorati e/o ossimminici o è costituito da fotoresist convenzionale. 15. Metodo secondo la rivendicazione 13 o 14, in cui dette almeno due specie molecolari aventi carattere elettron-accettore ed elettron-donatore sono scelte rispettivamente dai gruppi di tabella 1 e di tabella 2. 16. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detto materiale attivo è un materiale composito in cui detta seconda porzione strutturale è dispersa in detta prima porzione strutturale, dette porzioni strutturali avendo indifferentemente una carattere elettron-accettore, l’altra carattere elettron-donatore, dette porzioni strutturali costituendo un sistema a trasferimento di carica. 17. Metodo secondo la rivendicazione 16, in cui detta seconda porzione strutturale comprende almeno una specie molecolare scelta dal gruppo di tabella 1 o dal gruppo di tabella 2. 18. Metodo secondo la rivendicazione 16 o 17, in cui detta prima porzione strutturale è costituita da fotoresist convenzionale. 19. Metodo secondo la rivendicazione 16 o 17, in cui detto sistema a trasferimento di carica è costituito da fullerene-C60 disperso in un copolimero di tetratiofulvalene (TTF) e poli(clorometilstirene (PSTFF), o di polimetilmetacrilato e co-9-antracenilmetilmetacrilato. 20. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detta seconda porzione strutturale è costituita da gruppi funzionali o molecolari elettro-bistabili legati chimicamente, come gruppi pendenti, a detta prima porzione strutturale. 21. Metodo secondo la rivendicazione 20, in cui detta prima porzione strutturale è costituita da polimeri, o da i rispettivi monomeri, acrilati e/o metacrilati. 22. Metodo secondo la rivendicazione 20 o 21, in cui detti gruppi funzionali o molecolari elettro-bistabili comprendono fluoresceina e/o suoi derivati. 23. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detta seconda porzione strutturale è costituita da almeno due differenti gruppi funzionali o molecolari legati chimicamente, come gruppi pendenti, a detta prima porzione strutturale, detti almeno due gruppi avendo indifferentemente uno carattere elettron-accettore, altro carattere elettron-donatore, detti almeno due gruppi costituendo un sistema a trasferimento di carica. 24. Metodo secondo la rivendicazione 23, in cui detta prima porzione strutturale è costituita da un polimero metacrilato o da i rispettivi monomeri, oppure è costituita da polimeri / oligomeri contenenti in catena laterale gruppi maleimmidici. 25. Metodo secondo la rivendicazione 23 o 24, in cui detto sistema a trasferimento di carica comprende PCBM e carbazolo. 26. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detta seconda porzione strutturale è costituita da gruppi funzionali o molecolari legati chimicamente, ed innestati come gruppi pendenti, a detta prima porzione strutturale, dette porzioni strutturali avendo indifferentemente una carattere elettron-accettore, l’altra carattere elettron-donatore, dette porzioni strutturali costituendo un sistema a trasferimento di carica. 27. Metodo secondo una qualsiasi delle rivendicazioni da 1 a 6, in cui detta seconda porzione strutturale è costituita da gruppi funzionali o molecolari elettro-bistabili legati chimicamente lungo detta prima porzione strutturale. 28. Architettura circuitale su base organica comprendente un elettrodo di fondo in forma di uno strato di un primo prefissato materiale, un elettrodo di testa in forma di uno strato discontinuo di un prefissato secondo materiale e un film discontinuo di un materiale attivo a contatto con, e interposto tra, detti elettrodi di fondo e di testa, in cui detto materiale attivo è elettricamente attivo o attivabile e comprende almeno una specie polimerica ed in cui detto film discontinuo è stato pattemato mediante foto litografia convenzionale. 29. Architettura secondo la rivendicazione 28, comprendente inoltre un substrato di un prefissato materiale sul quale è realizzato detto elettrodo di fondo, ed in cui detti elettrodi di fondo e di testa sono realizzati in forma rispettivamente di un primo array comprendente spacer tra loro paralleli e di un secondo array comprendente spacer tra loro paralleli, in cui gli spacer di detti primo e secondo array sono tra loro ortogonali, ed in cui tra detti elettrodi di fondo e di testa e tra porzioni discontinue di detto film discontinuo di materiale attivo è compreso uno strato di materiale dielettrico. 30. Architettura secondo la rivendicazione 29, comprendente almeno due film discontinui di materiale attivo, in cui film discontinui di materiale attivo consecutivi sono separati da, ed hanno ciascuno una faccia a contatto con, un elettrodo di testa di ordine m, le restanti relative facce essendo a contatto rispettivamente con un elettrodo di ordine m-1 e con un elettrodo di ordine m+1, in cui tra elettrodi di ordine consecutivo è compreso uno strato di materiale dielettrico. 31. Dispositivo elettronico su base organica comprendente almeno una architettura secondo una qualsiasi delle rivendicazioni da 28 a 30. 32. Uso di un materiale attivo fotosensibile quale elemento strutturale e componente attivo per realizzare una architettura circuitale su base organica, in cui detto materiale attivo comprende almeno una prima porzione strutturale avente un picco di assorbimento ad una prefissata lunghezza d'onda UV detta prima porzione strutturale essendo fotoattivabile a detta prefissata lunghezza d’onda ed essendo costituita da monomeri o oligomeri che irradiati a detta prefissata lunghezza d’onda subiscono reazione di foto-polimerizzazione e/o fotoreticolazione, o da un polimero che a detta prefissata lunghezza d’onda subisce reazione di foto -degradazione, ed almeno una seconda porzione strutturale elettricamente attiva o attivabile sostanzialmente trasparente a detta prefissata lunghezza d’onda UV. 33. Uso secondo la rivendicazione 32, in cui detto materiale attivo è costituito da un polimero o da una miscela di polimeri fotosensibili intrinsecamente elettrobistabili. 34. Uso secondo la rivendicazione 33, in cui detto materiale attivo è un derivato del polimetilmetacrilato (PMMA). 35. Uso secondo la rivendicazione 34, in cui detto derivato del PMMA è il polimetilmetacrilato-co-9-antracenilmetilmetacrilato. 36. Uso secondo la rivendicazione 32, in cui detta seconda porzione strutturale è costituita da molecole elettro-bistabili disperse in detta prima porzione strutturale polimerica fotoattiva. 37. Uso secondo la rivendicazione 36, in cui detti monomeri o oligomeri contengono in catena laterale gruppi maleimmidici, gruppi azidoarilici, o sono costituiti da fotoresist convenzionale o sono composti su base acrilica e/o metacrilica e/o vinil eterea contenti in catena laterale gruppi calconici eventualmente sostituiti, ed in cui detto polimero è scelto dal gruppo comprendente PMMA e suoi derivati fluorati e/o ossimminici o è costituito da fotoresist convenzionale. 38. Uso secondo la rivendicazione 36 o 37, in cui dette molecole elettrobistabili sono sceltea dal gruppo comprendete fluoresceina e suoi derivati,, DDQ (2, 3 -dicloro- 5, 6 diciano-pbenzochinone), DDME ( 1,1 -diciano -2, 2 -(4 -dimetil amminofenil) etilene), Ni-Pc (nickel (II) ftalocianina tetrasulfonico acido tetrasodio), AIDCN (2-ammino-4,5 imidazolo dicarbonitrile), DMNDPA (N,N-dimetil-N-(3-nitro benzilidene) - p-fenilenediammina) . 39. Uso secondo la rivendicazione 32, in cui detta seconda porzione strutturale è costituita da almeno due specie molecolari atte a realizzare sistemi o complessi a trasferimento di carica disperse in detta prima porzione strutturale polimerica fotoattiva. 40. Uso secondo la rivendicazione 39, in cui detti monomeri o oligomeri contengono in catena laterale gruppi maleimmidici, gruppi azidoarilici, o sono costituiti da fotoresist convenzionale o sono composti su base acrilica e/o metacrilica e/o vinil eterea conteneti in catena laterale gruppi calconici eventualmente sostituiti, ed in cui detto polimero è scelto dal gruppo comprendente PMMA e sui derivati fluorati e/o ossimminici o è costituito da fotoresist convenzionale. 4 1 . Uso secondo la rivendicazione 39 o 40, in cui dette almeno due specie molecolari aventi carattere elettron-accettore ed elettrondonatore sono scelte rispettivamente dai gruppi di tabella 1 e di tabella 2. 42. Uso secondo la rivendicazione 32 in cui detta seconda porzione strutturale è costituita da molecole attive disperse in detta prima porzione strutturale polimerica fotoattiva, in cui dette molecole e detta matrice polimerica generano un complesso a trasferimento di carica. 43. Uso secondo la rivendicazione 42, in cui detta seconda porzione strutturale comprende almeno una specie molecolare scelta dal gruppo di tabella 1 o dal gruppo di tabella 2. 44. Uso secondo la rivendicazione 42 o 43 in cui detta prima porzione strutturale è costituita da fotoresist convenzionale. 45. Uso secondo la rivendicazione 42 o 43, in cui detto sistema a trasferimento di carica è costituito da fullerene-C60 disperso in un copolimero di tetratiofulvalene (TTF) e poli(clorometilstirene (PSTFF), o di polimetilmetacrilato e co-9-antracenilmetilmetacrilato. 46. Uso secondo la rivendicazione 32, in cui detta seconda porzione strutturale è costituita da gruppi funzionali o molecolari elettro-bistabili pendenti da, e legati chimicamente a, la catena principale di detta prima porzione strutturale polimerica fotoattiva. 47. Uso secondo la rivendicazione 46, in cui detta prima porzione strutturale è costituita da polimeri, o da i rispettivi monomeri, acrilati e/o metacrilati. 48. Uso secondo la rivendicazione 46 o 47, in cui detti gruppi funzionali o molecolari elettro-bistabili comprendono fluoresceina e/o suoi derivati. 49. Uso secondo la rivendicazione 32, in cui detta seconda porzione strutturale è costituita da almeno due differenti gruppi funzionali o molecolari legati chimicamente come gruppi pendenti alla catena principale di detta prima porzione strutturale polimerica fotoattiva, detti almeno due differenti gruppi essendo atti a realizzare reazioni a trasferimento di carica avendo indifferentemente uno carattere elettron-accettore, l’altro carattere elettron-donatore. 50. Uso secondo la rivendicazione 49, in cui detta prima porzione strutturale è costituita da un polimero metacrilato o da i rispettivi monomeri, oppure è costituita da polimeri/ oligomeri contenenti in catena laterale gruppi maleimmidici. 51. Uso secondo la rivendicazione 49 o 50, in cui detto sistema a trasferimento di carica comprende PCBM e carbazolo. 52. Uso secondo la rivendicazione 32, in cui detta seconda porzione strutturale è costituita da gruppi molecolari chimicamente legati come gruppi pendenti a detta prima porzione strutturale polimerica fotoattìva, in cui dette prima e seconda porzione strutturale sono atte a realizzare reazioni a trasferimento di carica, avendo indifferentemente una carattere elettron-accettore, l’altra carattere elettron-donatore . 53. Uso secondo la rivendicazione 32, in cui detta seconda porzione strutturale è costituita da gruppi funzionali o molecolari elettro-bistabìli inseriti lungo la catena principale di detta prima porzione strutturale polimerica fotoattiva, detta prima porzione strutturale conferendo porzione a detto materiale attivo un carattere di elettro-bistabìlità quando è applicato un voltaggio.
IT000671A 2007-04-02 2007-04-02 Architettura circuitale su base organica e relativo metodo fi realizzazione ITMI20070671A1 (it)

Priority Applications (3)

Application Number Priority Date Filing Date Title
IT000671A ITMI20070671A1 (it) 2007-04-02 2007-04-02 Architettura circuitale su base organica e relativo metodo fi realizzazione
US12/060,651 US7960722B2 (en) 2007-04-02 2008-04-01 Circuit architecture on an organic base and related manufacturing method
US13/101,903 US8470633B2 (en) 2007-04-02 2011-05-05 Circuit architecture on an organic base and related manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
IT000671A ITMI20070671A1 (it) 2007-04-02 2007-04-02 Architettura circuitale su base organica e relativo metodo fi realizzazione

Publications (1)

Publication Number Publication Date
ITMI20070671A1 true ITMI20070671A1 (it) 2008-10-03

Family

ID=39794926

Family Applications (1)

Application Number Title Priority Date Filing Date
IT000671A ITMI20070671A1 (it) 2007-04-02 2007-04-02 Architettura circuitale su base organica e relativo metodo fi realizzazione

Country Status (2)

Country Link
US (2) US7960722B2 (it)
IT (1) ITMI20070671A1 (it)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN104177915B (zh) * 2014-07-30 2015-12-30 广西师范学院 双层三明治型y金属酞菁配合物在微接触印刷中的应用
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111054918B (zh) * 2019-12-26 2021-01-19 武汉大学 一种精确制备适用于生物传感器间距可控的超细金属微米柱阵列的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7274035B2 (en) * 2003-09-03 2007-09-25 The Regents Of The University Of California Memory devices based on electric field programmable films
DE10355561A1 (de) * 2003-11-28 2005-06-30 Infineon Technologies Ag Halbleiteranordnung mit nichtflüchtigen Speichern

Also Published As

Publication number Publication date
US20080241564A1 (en) 2008-10-02
US8470633B2 (en) 2013-06-25
US7960722B2 (en) 2011-06-14
US20110275018A1 (en) 2011-11-10

Similar Documents

Publication Publication Date Title
ITMI20070671A1 (it) Architettura circuitale su base organica e relativo metodo fi realizzazione
KR100810546B1 (ko) 삼차원 광학 소자의 가공 방법
US9182673B2 (en) Method for providing a template for a self-assemblable polymer for use in device lithography
US7691275B2 (en) Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
US7598018B1 (en) Photosensitive polymeric material for worm optical data storage with two-photon fluorescent readout
US20130266727A1 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US20150168842A1 (en) Block co-polymer photoresist
Peter et al. Organotin in nonchemically amplified polymeric hybrid resist imparts better resolution with sensitivity for next-generation lithography
US9017928B2 (en) Methods for manufacturing resin structure and micro-structure
US9235125B2 (en) Methods of providing patterned chemical epitaxy templates for self-assemblable block copolymers for use in device lithography
JP2009220450A (ja) 積層体、ポリマー−金属複合材料の作製方法及びそれにより得られた紫外光吸収フィルム、赤外光吸収フィルム、フォトマスク、電磁波シールドフィルム、導電性材料、プリント配線板及び薄層トランジスタ。
EP4317225A1 (en) Fluorine resin, composition, photocrosslinked product, and electronic device provided therewith
US5252430A (en) Fine pattern forming method
US8951717B2 (en) Methods for manufacturing resin structure and micro-structure
WO2020022514A1 (ja) 成形物の製造方法、インプリント-電子描画の一括成形用レジスト、レプリカモールドの製造方法、デバイスの製造方法、及びインプリント材料
KR101062691B1 (ko) 포토레지스트 구조물을 갖는 미세 구조체 및 그 제조방법
KR100811410B1 (ko) 레지스트 플로우 공정 및 코팅막 형성 공정을 포함하는반도체 소자의 제조 방법
TW201632994A (zh) 感光性樹脂組成物、感光性元件、抗蝕劑圖案的形成方法及結構體的製造方法
JP2004191993A (ja) コンジュゲートポリマーパターン形成用組成物およびこれを用いるパターン形成方法
JP7013911B2 (ja) 感光性組成物及び有機薄膜トランジスタ
JPH0334055B2 (it)
KR20200110398A (ko) 적층체, 키트, 수용성 수지 조성물, 중간층 형성용 조성물, 감광성 수지 조성물
Kimura Development Status of EUV Resist toward Sub-20nmhp
JP2007163993A (ja) ブラックマトリクス材層状物と感光性転写材料、及びブラックマトリクスの形成方法
JPH0210354A (ja) パターン形成方法