JP5107372B2 - 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体 - Google Patents

熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体 Download PDF

Info

Publication number
JP5107372B2
JP5107372B2 JP2010023088A JP2010023088A JP5107372B2 JP 5107372 B2 JP5107372 B2 JP 5107372B2 JP 2010023088 A JP2010023088 A JP 2010023088A JP 2010023088 A JP2010023088 A JP 2010023088A JP 5107372 B2 JP5107372 B2 JP 5107372B2
Authority
JP
Japan
Prior art keywords
substrate
wafer
heat treatment
heat
developing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010023088A
Other languages
English (en)
Other versions
JP2011165693A (ja
Inventor
真任 田所
良弘 近藤
貴 齊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010023088A priority Critical patent/JP5107372B2/ja
Priority to TW099142820A priority patent/TWI502622B/zh
Priority to KR1020100131566A priority patent/KR101605918B1/ko
Priority to CN2011100353688A priority patent/CN102169812A/zh
Priority to US13/018,877 priority patent/US8927906B2/en
Publication of JP2011165693A publication Critical patent/JP2011165693A/ja
Application granted granted Critical
Publication of JP5107372B2 publication Critical patent/JP5107372B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C21/00Accessories or implements for use in connection with applying liquids or other fluent materials to surfaces, not provided for in groups B05C1/00 - B05C19/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater

Description

本発明は、基板を熱処理する熱処理装置及びその熱処理装置を有する塗布現像処理システムに関する。また、本発明は、その熱処理装置における熱処理方法及びその熱処理方法により基板を塗布現像処理する塗布現像処理方法並びにその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体に関する。
半導体デバイスの製造におけるフォトリソグラフィー工程では、半導体ウェハ等の基板(以下「ウェハ」という。)に対し、例えば塗布処理工程、露光工程、熱処理工程、現像処理工程などの複数の工程が順次行われ、ウェハ上に所定のレジストパターンが形成される。塗布処理工程では、ウェハ上にレジスト液を塗布しレジスト膜を形成する。露光工程では、レジスト膜を所定のパターンに露光する。熱処理工程(ポストエクスポージャーベーキング)では、露光後にレジスト膜内の化学反応を促進させる。現像処理工程では、露光されたレジスト膜を現像する。これらの一連の処理は、塗布処理装置、熱処理装置及び現像処理装置等の各種処理装置やウェハの搬送装置などを搭載した塗布現像処理システムで行われる。また、このような塗布現像処理システムでは、例えば同じレシピの複数枚のウェハが連続的に搬送されて処理されている。
このような塗布現像処理システムでは、上記した一連の処理が一定の水準を維持して行われる必要がある。そのため、上記した一連の処理を行った後、レジストパターンの線幅(Critical Dimension;CD)等の評価パラメータのウェハの面内における分布を求める必要がある。この分布は、例えば塗布現像処理システムにおいて、製品ウェハの処理前にテストウェハの処理を行い、そのシステムに搭載された測定装置により、そのテストウェハの面内の複数の測定点において評価パラメータを測定することによって、求められる(特許文献1参照)。
例えば、塗布現像処理システムに含まれる熱処理装置では、ウェハの面内における温度のばらつきを低減させるため、熱板が複数の領域に区画されている。そして、その複数の領域ごとに設定温度を変えることにより、露光後にレジスト膜内の化学反応を促進させる熱処理工程が、ウェハの面内で均一に行われるように制御されている。特許文献1に示す例では、ウェハの面内の複数の測定点においてレジストパターンの線幅(CD)を測定して、ウェハの面内における線幅(CD)の分布を求め、熱板の設定温度を補正して制御することが記載されている。
特開2008−84886号公報
ところが、上記したような塗布現像処理システムにおける熱処理装置において、熱板の設定温度を補正して制御する場合、次のような問題がある。
熱処理装置においては、熱板が複数の領域に区画され、その領域ごとに設けられているヒータを加熱制御する際に、設定温度を補正して制御している。例えば、熱板に抵抗等の発熱体よりなるヒータを設ける場合、ヒータが熱伝導により熱板を加熱し、加熱された熱板が載置されたウェハを熱伝導により加熱する。従って、同一の領域内においても、ヒータとの距離の差異によって、ウェハの温度が異なるため、上記のような線幅(CD)の分布に基づいて、温度分布を補正したとしても、線幅(CD)のばらつきを低減することができない。
また、熱板にヒータを設ける場合、熱板の温度を安定化させるため、熱板を常にヒータにより加熱しておく必要があり、熱処理装置が消費する消費電力を低減することができない。
本発明は上記の点に鑑みてなされたものであり、基板を熱処理する熱処理装置及びその熱処理装置における熱処理方法において、ウェハの面内における線幅のばらつきを低減することができ、消費電力を低減することができる熱処理装置及び熱処理方法を提供する。
上記の課題を解決するために本発明では、次に述べる各手段を講じたことを特徴とするものである。
本発明の一実施例によれば、
基板上にレジスト膜が形成された前記基板を露光した後、現像処理することによって前記基板上にレジストパターンを形成するために、露光された前記基板を、現像処理する前に熱処理する熱処理装置において、
二次元的に配列されている複数の加熱素子を備え、露光された前記基板を熱処理する加熱部と、
前記加熱部の上方に設けられた、前記基板が載置される載置部と、
前記加熱部により一の基板を熱処理する際に、予め前記加熱部により熱処理した後、現像処理することによって前記レジストパターンが形成された他の基板における前記レジストパターンの線幅の測定値から求められた温度補正値に基づいて、前記加熱部の設定温度を補正し、補正された前記設定温度に基づいて、前記加熱部を制御する制御部と
を有し、
前記載置部は、前記加熱部から上方に突出して設けられた、載置される前記基板を前記加熱部の上端から所定の距離だけ上方に離隔して保持する複数の突起を備え
前記基板は、前記基板上に複数のチップが配列するように形成されるものであり、
前記複数の加熱素子の配列間隔は、前記複数のチップの配列間隔よりも小さい、熱処理装置が提供される。
本発明の一実施例によれば、
二次元的に配列されている複数の加熱素子を備え、基板を熱処理する加熱部と、前記加熱部の上方に設けられた、前記基板が載置される載置部とを有する熱処理装置により、基板上にレジスト膜が形成された前記基板を露光した後、現像処理することによって前記基板上にレジストパターンを形成するために、露光された前記基板を、現像処理する前に熱処理する熱処理方法であって、
前記加熱部により一の基板を熱処理する際に、予め前記加熱部により熱処理した後、現像処理することによって前記レジストパターンが形成された他の基板における前記レジストパターンの線幅の測定値から求められた温度補正値に基づいて、前記加熱部の設定温度を補正し、補正された前記設定温度に基づいて、前記加熱部を制御する制御工程を有し、
前記載置部は、前記加熱部から上方に突出して設けられた、載置される前記基板を前記加熱部の上端から所定の距離だけ上方に離隔して保持する複数の突起を備え
前記基板は、前記基板上に複数のチップが配列するように形成されるものであり、
前記複数の加熱素子の配列間隔は、前記複数のチップの配列間隔よりも小さい、熱処理方法が提供される。
本発明によれば、基板を熱処理する熱処理装置及びその熱処理装置における熱処理方法において、ウェハの面内における線幅のばらつきを低減することができ、消費電力を低減することができる。
第1の実施の形態に係る塗布現像処理システムの構成の概略を示す平面図である。 第1の実施の形態に係る塗布現像処理システムの構成の概略を示す正面図である。 第1の実施の形態に係る塗布現像処理システムの構成の概略を示す背面図である。 第1の実施の形態に係るポストエクスポージャーベーキング装置を示す概略正面図である。 第1の実施の形態に係るポストエクスポージャーベーキング装置の蓋体を外したときの概略平面図である。 線幅測定装置の構成の概略を示す縦断面図である。 ウェハ上に配列するように形成される複数のチップの配置と、ウェハ上に形成されたレジストパターンの線幅(CD)を測定する測定点との関係を示す平面図である。 線幅測定値CDと設定温度Tとの関係を示すグラフである。 比較例に係るポストエクスポージャーベーキング装置を示す概略正面図である。 比較例に係るポストエクスポージャーベーキング装置を示す概略平面図である。 比較例に係るポストエクスポージャーベーキング装置の熱板の概略の構成を示す平面図である。 ウェハ上に配列するように形成される複数のチップの配置と、ウェハ上に形成されたレジストパターンの線幅(CD)を測定する測定点との関係を示す平面図である。 第1の実施の形態の第2の変形例に係るポストエクスポージャーベーキング装置の蓋体を外したときの概略平面図である。 第2の実施の形態に係るポストエクスポージャーベーキング装置を示す概略正面図である。 第2の実施の形態に係るポストエクスポージャーベーキング装置の蓋体を外したときの概略平面図である。 第3の実施の形態に係る塗布現像処理システムの構成の概略を示す平面図である。
次に、本発明を実施するための形態について図面と共に説明する。
(第1の実施の形態)
始めに、図1から図8を参照し、第1の実施の形態に係る熱処理装置、熱処理装置を含む塗布現像処理システム、熱処理装置における熱処理方法及び塗布現像処理システムにおける塗布現像処理方法について説明する。
最初に、本実施の形態に係るレジスト塗布現像処理システム及び塗布現像処理システムにおける塗布現像処理方法について説明する。
図1は、本実施の形態に係る塗布現像処理システムの構成の概略を示す平面図である。図2は、塗布現像処理システムの正面図であり、図3は、塗布現像処理システムの背面図である。
図1に示すように、塗布現像処理システム1は、カセットステーション2、処理ステーション3、インターフェイスステーション4を一体に接続した構成を有している。塗布現像処理システム1は、ウェハW上にレジストを塗布処理し、ウェハW上にレジストが塗布処理されたウェハWを熱処理することによってウェハW上にレジスト膜を形成するものである。また、塗布現像処理システム1は、レジスト膜が形成されたウェハWを露光した後、現像処理することによって、ウェハW上にレジストパターンを形成するものである。
カセットステーション2は、例えば25枚のウェハWをカセット単位で外部から塗布現像処理システム1に対して搬入出したり、カセットCに対してウェハWを搬入出したりする。処理ステーション3は、カセットステーション2に隣接して設けられており、フォトリソグラフィー工程の中で枚葉式に所定の処理を施す複数の各種処理装置が多段に配置されている。インターフェイスステーション4は、処理ステーション3に隣接して設けられており、図示しない露光装置との間でウェハWの受け渡しをする。
カセットステーション2には、カセット載置台5が設けられている。カセット載置台5は、複数のカセットCをX方向(図1中の上下方向)に一列に載置自在になっている。カセットステーション2には、搬送路6上をX方向に向かって移動可能なウェハ搬送体7が設けられている。ウェハ搬送体7は、カセットCに収容されたウェハWのウェハ配列方向(Z方向;鉛直方向)にも移動自在であり、X方向に配列された各カセットC内のウェハWに対して選択的にアクセスできる。
ウェハ搬送体7は、Z軸周りのθ方向に回転可能であり、後述する線幅測定装置20や処理ステーション3側のトランジション装置TRS、アドヒージョン装置ADに対してもアクセスできる。
処理ステーション3は、複数の処理装置が多段に配置された、例えば7つの処理装置群G1〜G7を備えている。処理ステーション3のX方向負方向(図1中の下方向)側には、カセットステーション2側から第1の処理装置群G1、第2の処理装置群G2及び第3の処理装置群G3が順に配置されている。処理ステーション3のX方向正方向(図1中の上方向)側には、カセットステーション2側から第4の処理装置群G4、第5の処理装置群G5、第6の処理装置群G6及び第7の処理装置群G7が順に配置されている。
第1の処理装置群G1から第3の処理装置群G3と、第4の処理装置群G4から第7の処理装置群G7との間には、搬送路8上をY方向に向かって移動可能な第1の搬送装置9が設けられている。第1の搬送装置9は、Z軸周りのθ方向に回転可能であり、第1の処理装置群G1から第7の処理装置群G7内の各処理装置に選択的にアクセスしてウェハWを搬送できる。
図2に示すように、第1の処理装置群G1及び第2の処理装置群G2には、ウェハWに所定の液体を供給して処理を行う液処理装置、例えばレジスト塗布装置COT及びボトムコーティング装置BARCが下から順に5段に重ねられている。レジスト塗布装置COTは、ウェハWにレジスト液を塗布する。ボトムコーティング装置BARCは、露光処理時の光の反射を防止する反射防止膜を形成する。第3の処理装置群G3には、液処理装置、例えばウェハWに現像液を供給して現像処理する現像処理装置DEVが下から順に5段に重ねられている。また、第1の処理装置群G1から第3の処理装置群G3の最下段には、各処理装置群G1、G2、G3内の液処理装置に各種処理液を供給するためのケミカル室CHMがそれぞれ設けられている。
図3に示すように、第4の処理装置群G4には、温調装置TCP、トランジション装置TRS、高精度温調装置CPL及び高温度熱処理装置BAKEが下から順に9段に重ねられている。トランジション装置TRSは、ウェハWの受け渡しを行う。高精度温調装置CPLは、精度の高い温度管理下でウェハWを温度調節する。高温度熱処理装置BAKEは、ウェハWを高温で加熱処理する。
第5の処理装置群G5では、例えば高精度温調装置CPL、プリベーキング装置PAB及びポストベーキング装置POSTが下から順に10段に重ねられている。プリベーキング装置PABは、レジスト塗布処理後のウェハWを加熱処理する。ポストベーキング装置POSTは、現像処理後のウェハWを加熱処理する。
第6の処理装置群G6及び第7の処理装置群G7では、ウェハWを熱処理する複数の熱処理装置、例えば高精度温調装置CPL及びポストエクスポージャーベーキング装置PEBが下から順に8段に重ねられている。ポストエクスポージャーベーキング装置PEBは、露光後で現像前のウェハWの加熱処理を行う。
図1に示すように、処理ステーション3のカセットステーション2側には、ウェハ搬送体7と、第1の搬送装置9との間でウェハWの受け渡しを行うためのトランジション装置TRSが設けられている。そして、このトランジション装置TRSのX方向正方向側には、複数の処理装置が配置されており、例えば図3に示すように、ウェハWを疎水化処理するためのアドヒージョン装置ADが下から順に2段に重ねられている。
図1に示すように、処理ステーション3のインターフェイスステーション4側には、第1の搬送装置9と、後述するウェハ搬送体11と、の間でウェハWの受け渡しを行うためのトランジション装置TRSが設けられている。そして、このトランジション装置TRSのX方向正方向側には、例えば、ウェハWのエッジ部のみを選択的に露光する、図示しない周辺露光装置WEEが配置されている。
インターフェイスステーション4には、例えば図1に示すようにX方向に向けて延びる搬送路10上を移動するウェハ搬送体11とバッファカセット12が設けられている。ウェハ搬送体11は、上下移動可能でかつθ方向にも回転可能であり、インターフェイスステーション4に隣接した図示しない露光装置と、バッファカセット12に対してアクセスしてウェハWを搬送できる。
また、図1に示すように、例えばカセットステーション2には、ウェハW上のレジストパターンの線幅を測定する線幅測定装置20が設けられている。
本体制御部30は、塗布現像処理システム1により行われるウェハ処理を制御する。本体制御部30は、線幅測定装置20により行われるウェハW上に形成されたレジストパターンの線幅測定も制御する。本体制御部30は、例えばCPUやメモリなどを備えた汎用コンピュータにより構成されている。そして、本体制御部30は、メモリに記憶されたプログラムをCPUにより実行することによって、ウェハ処理や線幅測定を制御できる。また、本体制御部30に記憶されたプログラムは、コンピュータ読み取り可能な記録媒体により本体制御部30にインストールされたものであってもよい。
上記したウェハ処理及び線幅測定は、塗布現像処理システム1により行われる塗布現像処理方法に含まれる。すなわち、塗布現像処理方法は、ウェハW上にレジストを塗布処理し、ウェハW上にレジストが塗布処理されたウェハWを熱処理することによってウェハW上にレジスト膜を形成するものである。また、塗布現像処理方法は、レジスト膜が形成されたウェハWを露光した後、現像処理することによって、ウェハW上にレジストパターンを形成するものである。また塗布現像処理方法は、ポストエクスポージャーベーキング装置PEBによりウェハWを熱処理する熱処理工程と、線幅測定装置20によりウェハW上に形成されたレジストパターンの線幅を測定する線幅測定工程とを有する。
以上のように構成された塗布現像処理システム1におけるウェハWの塗布現像処理プロセスは、次のように行われる。先ず、図1に示すウェハ搬送体7によって、カセット載置台5上のカセットC内から同じレシピの複数枚の製品用のウェハWn(nは、1以上の自然数)が一枚ずつ取り出され、処理ステーション3の第4の処理装置群G4に属する温調装置TCPに順次搬送される。温調装置TCPに搬送されたウェハWnは、所定温度に温度調節され、第1の搬送装置9によって順次ボトムコーティング装置BARCに搬送され、ウェハWn上に反射防止膜用の塗布液が塗布処理される。反射防止膜用の塗布液が塗布処理されたウェハWnは、第1の搬送装置9によって高温度熱処理装置BAKE、高精度温調装置CPLに順次搬送され、各装置において所定の処理が施されることによって、反射防止膜が形成される。反射防止膜が形成されたウェハWnは、レジスト塗布装置COTに順次搬送され、ウェハWn上にレジストが塗布処理される。レジストが塗布処理されたウェハWnは、第1の搬送装置9によってプリベーキング装置PABに順次搬送され、プリベーキングが施される。プリベーキングが施されたウェハWnは、第1の搬送装置9によって、図示しない周辺露光装置WEE、高精度温調装置CPLに順次搬送され、各装置において所定の処理が施されることによって、レジスト膜が形成される。レジスト膜が形成されたウェハWnは、第1の搬送装置9によってトランジション装置TRSに受け渡される。トランジション装置TRSに受け渡されたウェハWnは、インターフェイスステーション4のウェハ搬送体11によって図示しない露光装置に順次搬送され、露光される。
露光の終了したウェハWnは、再びウェハ搬送体11によってトランジション装置TRSに受け渡される。トランジション装置TRSに受け渡されたウェハWnは、第1の搬送装置9によって、例えばポストエクスポージャーベーキング装置PEBに順次搬送され、ポストエクスポージャーベーキングが施される。ポストエクスポージャーベーキングが施されたウェハWnは、第1の搬送装置9によって高精度温調装置CPLに順次搬送され、温度調節される。その後、ウェハWnは、現像処理装置DEVに搬送され、ウェハWn上に形成され、露光されたレジスト膜が現像される。その後ウェハWnは、第1の搬送装置9によってポストベーキング装置POSTに順次搬送され、ポストベーキングが施される。その後ウェハWnは、高精度温調装置CPLに順次搬送され、温度調節される。そしてウェハWnは、第1の搬送装置9によってトランジション装置TRSに順次搬送され、ウェハ搬送体7によってカセットCに戻される。これにより、一連のウェハ処理が終了する。
次に、図4及び図5を参照し、ポストエクスポージャーベーキング装置PEBについて説明する。なお、ポストエクスポージャーベーキング装置PEBは、本発明における熱処理装置に相当する。
図4は、本実施の形態に係るポストエクスポージャーベーキング装置を示す概略正面図である。図5は、本実施の形態に係るポストエクスポージャーベーキング装置の蓋体を外したときの概略平面図である。
ポストエクスポージャーベーキング装置PEBは、図4に示すように、蓋体40、収容部50、加熱部60、載置部80、冷却部90、昇降ピン100及び制御部110を有する。ポストエクスポージャーベーキング装置PEBは、ウェハW上にレジスト膜が形成されたウェハWを露光した後、現像処理することによってウェハWにレジストパターンを形成するために、露光されたウェハWを、現像処理する前に熱処理する熱処理工程を行うものである。
なお、本発明における熱処理装置とは、熱処理装置を制御する制御部110を含むものとする。
蓋体40は、上側に位置し、ウェハWを出し入れする際に上下動自在に設けられている。蓋体40の天井部の中央付近には、蓋体40を貫通して設けられた供給管41の一端が開口されている。供給管41の他端は、温湿度管理された空気を供給する図示しない供給源と接続されている。これにより、図示しない供給源から供給管41を介して処理室S内に温湿度管理された空気を供給することができる。また、蓋体40の天井部の周縁付近には、蓋体40を貫通して設けられた排気管42の一端が開口されている。排気管42の他端は、空気を排気する図示しない排気部と接続されている。これにより、処理室S内の雰囲気を、排気管42を介して排気部により均一に排気できる。なお、図4に示すように、排気管42の開口は複数設けられていてもよく、このときは、複数開口が設けられた複数の排気管42は途中で合流して排気部に接続されていてもよい。ポストエクスポージャーベーキングを行う際に、レジストに含まれる感光部が熱で化学反応する。従って、供給管41及び排気管42は、ポストエクスポージャーベーキングを行う際に、空気を一定量で処理室S内に循環させる。
なお、蓋体40には、供給管41及び排気管42が開口する空間と処理室Sとを画成する整流板43が設けられていてもよい。整流板43は、供給管41を介して処理室S内に供給される空気、処理室S内から排気管42を介して排気される空気の流れをウェハWの面内で均一にするものである。
収容部50は、下側に位置し、蓋体40と一体となって処理室Sを形成する。収容部50の中央には、上方側から下方側へ、載置部80、加熱部60及び冷却部90が設けられている。また、図4及び図5に示すように、収容部50の周縁には、ウェハWが載置される領域以外の領域で、加熱部60からの光がウェハWよりも上方へ漏れることを防止する遮光部材51が設けられている。
図5に示すように、加熱部60は、モジュール基板61上に略同一平面上に略格子状に、すなわち二次元的に配列されており、ウェハWを加熱する複数の発光ダイオード素子(Light Emitting Diode;LED)62を備える。発光ダイオード素子62は、赤外線を発光する。加熱部60は、露光されたウェハWを熱処理する。また、以下では、赤外線を発光する発光ダイオード素子62を、赤外LEDという。
なお、赤外線を発光する発光素子として、LED以外に、半導体レーザ等、各種の発光素子を用いることができる。
また、例えばSiよりなるウェハWを加熱するときは、本実施の形態では、赤外線として、850nm〜1000nmの範囲の波長を有する赤外線を用いることができる。
図5に示すように、赤外LED62は、略格子状に規則正しく、隙間なく配列されている。これにより、加熱部60は、小さな加熱素子を多数配置していることになる。
なお、本実施の形態では、加熱素子として赤外線を発光する発光素子を用いる例を説明した。しかし、小さな加熱素子を多数配置することができればよく、発光素子に代え、ヒータ等の各種の発熱素子を多数配置したものであってもよい。
赤外LED62として、直径が5mmφ程度のものを用いることができる。例えば12インチのウェハサイズに対応させるには、直径が5mmφ程度のものを用いた場合、図5に示すように、モジュール基板61上に約2950個の赤外LED62を用いればよい。
また、赤外LED62は、載置部80にウェハWが載置されていないときは消灯しており、載置部80にウェハWが載置されているときに点灯させるようにすることができる。
図4に示すように、載置部80は、加熱部60から上方に突出して設けられた、複数の突起81を有する。すなわち、載置部80は、加熱部60の上方に設けられている。ウェハWは、複数の突起81に保持されるように、載置される。ウェハWを複数の突起81により保持することにより、載置部80は、赤外LED62が配列されている加熱部60の上端から、所定の距離だけ上方に離隔してウェハWを保持することができる。これにより、ウェハWの下面と加熱部60との間に空間を設けることができる。空間を設けることにより、加熱部60の上にゴミが付着していた場合でも、そのゴミがウェハWに付着することを防止できる。また赤外LED62により加熱されたウェハWによって、赤外LED62自身が加熱されて損傷を受けることを防止できる。また、所定の距離を、例えば100μm程度とすることができる。
複数の突起81には、載置されているウェハWの温度を測定する温度センサ82が設けられている。温度センサ82が設けられたことにより、ウェハWの温度をよりウェハWに近い位置で測定することができる。
複数の突起81は、平面視において二次元的に略均等に分散して配置するように設けられるのが好ましい。例えば、格子状に配列されていてもよい。あるいは、ウェハWの周方向に沿って所定の角度ごとに配列されていてもよい。例えば、中心軸を中心としてn回(nは自然数)対称になるように、放射状に設けられていてもよい。これにより、少ない個数の突起81でも、略円形形状を有するウェハWを安定して保持することができ、かつ、ウェハWの温度を均等に測定することができる。図5では、格子状に配列されており、かつ、4回対称になるように設けられている例を示しており、突起81が29箇所設けられている例を示している。
なお、本実施の形態では、載置部80が加熱部60に支持される状態で、載置部80と加熱部60とは一体的に設けられている。しかし、載置部80が加熱部60に支持されなくてもよい。例えば、載置部80は、収容部50又は収容部50を支持する図示しない支持部材等により、加熱部60とは別に支持されていてもよい。
図4に示すように、冷却部90は、加熱部60の下方に設けられている。冷却部90は、ウェハW又は加熱部60を冷却する。冷却部90は、平面視において、加熱部60と略等しい円形形状を有している。冷却部90の内部には、例えばペルチェ素子などの冷却部材91が内蔵されており、冷却部90を所定の設定温度に調整できる。あるいは、冷却部90の内部には、冷却水を通流する冷却水管が形成されており、冷却部90を冷却水により冷却するものであってもよい。なお、冷却部90の形状は、平面視において少なくとも加熱部60をカバーできるものであればよく、例えばウェハWよりも広い領域を含むものであってもよい。
図4及び図5に示すように、昇降ピン100は、ウェハWを下方から支持して昇降可能に、設けられている。昇降ピン100は、昇降駆動機構101により上下動され、下方から支持したウェハWを上下動させることができる。加熱部60及び冷却部90の中央部付近には、それぞれ加熱部60を厚み方向に貫通する貫通孔63、92が複数箇所形成されている。図5は、貫通孔63が、3箇所形成されている例を示している。昇降ピン100は、貫通孔63、92を通過し、加熱部60の上方に突出できる。これにより、昇降ピン100は、第1の搬送装置9と載置部80との間でウェハWを受け渡しすることができる。
制御部110は、加熱部60を制御する部分である。また、制御部110は、後述するように、本体制御部30を介し、線幅測定装置20が測定したウェハW上のレジストパターンの幅寸法の分布に基づいて、加熱部60を制御する。すなわち、制御部110は、加熱部60により、一のウェハWを熱処理する制御工程を行うものである。制御工程は、塗布現像処理方法における熱処理工程に含まれる。
以上のように構成されたポストエクスポージャーベーキング装置PEBでは、先ず、蓋体40が上昇し、ウェハWを載置した第1の搬送装置9が移動することにより、ウェハWが載置部80の上方に移動する。載置部80の上方に移動したウェハWは、昇降ピン100に受け渡され、その昇降ピン100によって載置部80に載置される。ウェハWが載置部80に載置された後、蓋体40が下降し、ウェハWが処理室Sへ搬入される。このとき、赤外LED62はまだ消灯している。
ウェハWが載置部80に載置された後、供給管41から温湿度管理された空気を処理室Sに供給し、供給された空気を排気管42から排気することにより、空気を一定量循環させる。この状態で、制御部110により、赤外LED62を点灯させ、ウェハWを加熱する。
制御部110は、赤外LED62の出力を制御し、赤外LED62からウェハWに到達する光量を制御する。そして、所定時間経過後、制御部110により、赤外LED62を消灯させ、ウェハWの加熱を停止する。ここで、制御部110は、各赤外LED62に給電する電力を個別に制御することができる。すなわち、制御部110は、各赤外LED62からウェハWに到達する赤外線の光量を個別に調節するように構成することができる。
なお、制御部110は、各赤外LED62に給電する電力を、いくつかの赤外LED62よりなるグループごとに制御するようにしてもよい。すなわち、制御部110は、各赤外LED62からウェハWに到達する赤外線の光量を、いくつかの赤外LED62よりなるグループごとに調節するように構成してもよい。
あるいは、制御部110は、各赤外LED62に給電する時間を制御するようにしてもよい。すなわち、制御部110は、各赤外LED62をパルス的に点灯し、各赤外LED62のON時間とOFF時間の比を変えることにより、各赤外LED62からウェハWに到達する赤外線の光量を調節してもよい。
本実施の形態では、図5に示すように、突起81および温度センサ82は29箇所設けられている。これらの温度センサを、温測ウェハの指示値に合うよう校正する手順を説明する。温測ウェハの温度センサは、例えば温度センサ82の設置位置と一致する箇所にある。
まず、温測ウェハを処理室に置き、温測ウェハの測定値が目標温度(例えば110℃)になるよう加熱する。次に、このときの温度センサ82の温度を読む。次に、温度センサ82の温度が温測ウェハの温度と一致するよう、温度センサ82の温度読み値を加減算して、29箇所の温度センサ82の温度設定値を補正するようにしてもよい。
ウェハWの加熱を停止した後、ウェハWを引続き載置部80に載置し、冷却部材91又は冷却水により冷却されている冷却部90により、ウェハWを冷却する。そして、ウェハWが所定の温度まで冷却された後、蓋体40を上昇させ、ウェハWを載置部80から昇降ピン100へ受け渡す。昇降ピン100へ受け渡されたウェハWは、第1の搬送装置9に受け渡されてポストエクスポージャーベーキング装置PEBの外部に搬出され、一連の熱処理が終了する。
本実施の形態では、制御部110は、加熱部60によりあるウェハWを熱処理する制御工程を行う際に、予め線幅測定装置20が測定した他のウェハWの面内におけるレジストパターンの線幅の測定値から求められた、温度補正値に基づいて、加熱部60の設定温度を補正し、補正された設定温度に基づいて、加熱部60を制御する。この制御の方法について、図6を参照し、線幅測定装置20とともに、説明する。図6は、線幅測定装置の構成の概略を示す縦断面図である。
線幅測定装置20は、例えば図6に示すように、ウェハWを水平に載置する載置台21と、光学式表面形状測定計22を備えている。載置台21は、例えばX−Yステージになっており、水平方向の2次元方向に移動できる。光学式表面形状測定計22は、例えば、光照射部23、光検出部24及び算出部25を備えている。光照射部23は、ウェハWに対して斜方向から光を照射する。光検出部24は、光照射部23から照射されウェハWで反射した光を検出する。算出部25は、当該光検出部24の受光情報に基づいてウェハW上のレジストパターンの線幅(CD)を算出する。線幅測定装置20は、例えばスキャトロメトリ(Scatterometry)法を用いてレジストパターンの線幅を測定するものである。スキャトロメトリ法を用いる場合、算出部25において、光検出部24により検出されたウェハWの面内の光強度分布と、予め記憶されている仮想の光強度分布とを照合する。そして、その照合された仮想の光強度分布に対応するレジストパターンの線幅を求めることにより、レジストパターンの線幅を測定できる。
また、線幅測定装置20は、光照射部23及び光検出部24に対してウェハWを相対的に水平移動させることによって、ウェハWの面内の複数の測定点における線幅を測定することができる。線幅測定装置20の測定結果は、例えば算出部25から後述する本体制御部30に出力できる。本体制御部30に出力された測定結果は、本体制御部30を介してポストエクスポージャーベーキング装置PEBの制御部110に送られる。制御部110に送られた測定結果に基づいて、制御部110が加熱部60の設定温度を調整して、制御する。
次に、図6から図8を参照し、以上のように構成された線幅測定装置20が測定したウェハWの面内におけるレジストパターンの線幅の測定値の分布に基づいて、ポストエクスポージャーベーキング装置PEBの制御部110が、加熱部60の設定温度を調整して、制御する方法について、説明する。図7は、ウェハ上に配列するように形成される複数のチップの配置と、ウェハ上に形成されたレジストパターンの線幅(CD)を測定する測定点との関係を示す平面図である。図8は、線幅測定値CDと設定温度Tとの関係を示すグラフである。
本実施の形態では、図7(a)に示すように、例えば12インチサイズのウェハWに、例えば縦15mm×横15mmの正方形のチップサイズを有するチップCHが形成される場合について説明する。このような縦15mm×横15mmの正方形のチップ(ショット)CHが形成されるときは、ウェハWの外周部における正方形の一部が欠けた形状を有するチップ(欠けショット)も含め、合計321のチップ(ショット)CHが形成される。
なお、本実施の形態におけるチップサイズとは、露光の際に用いるレチクルによる1ショットの領域を意味する。しかし、ウェハW上で周期的に配列する形状の1まとまりであればよく、実際にウェハW上に形成される実際のチップサイズであってもよい(以下の変形例及び実施の形態においても同様)。
更に、本実施の形態では、図7(b)に示すように、1つのチップCHの領域内において、縦3箇所×横3箇所=9箇所を、線幅(CD)を測定する測定点P1〜P9とする。このとき、線幅(CD)を測定する測定点P1〜P9は、縦5mm、横5mmの配列間隔で二次元的に配列する。
一方、図5を用いて説明したように、加熱部60には、5mmφの赤外LED62が隙間無く配列されている。従って、線幅(CD)を測定する各測定点P1〜P9の位置と、各赤外LED62の位置とは、略1対1に対応している。このような条件で、ポストエクスポージャーベーキング装置PEBの制御部110が、予め線幅測定装置20が測定した、テストウェハWのレジストパターンの線幅の測定値から求められた、温度設定値に基づいて、加熱部60の設定温度を補正する。そして、補正された設定温度に基づいて、加熱部60を制御する。
また、本実施の形態では、赤外LED62が配列されている配列間隔が、ウェハWのチップCHが配列する配列間隔よりも小さい。従って、第1の実施の形態の第1の変形例でも後述するように、露光時におけるレチクルの誤差、レンズの収差又はショット(チップ)内の露光量のばらつきに起因する線幅のばらつきを低減することができる。
予め、テストウェハW上にレジストを塗布処理し、テストウェハW上にレジストが塗布処理されたテストウェハWを熱処理することによってテストウェハW上にレジスト膜を形成する。次に、レジスト膜が形成されたテストウェハWを露光し、そして、加熱部60により熱処理する。その後、加熱部60により熱処理されたテストウェハWを現像処理することによって、テストウェハW上にレジストパターンを形成する。
その後、レジストパターンが形成されたテストウェハWが線幅測定装置20に搬送され、図6に示すように載置台21に載置される。次に、テストウェハWの表面の所定部分に光照射部23から光が照射され、その反射光が光検出部24により検出され、算出部25においてテストウェハW上のレジストパターンの線幅が算出される。この線幅測定装置20では、光照射部23及び光検出部24に対しテストウェハWが水平移動される。そして、図7(a)及び図7(b)を用いて説明したように、テストウェハWの面内に二次元的に配列する321チップに対し、(欠けチップは除いて)それぞれ9箇所の測定点P1〜P9において、線幅(CD)が測定される。
線幅測定の終了したテストウェハWは、カセットステーション2のカセットCに戻される。そして、テストウェハWの面内の各測定点における線幅測定結果は、本体制御部30に出力される。本体制御部30では、テストウェハWの面内の各測定点における線幅の測定値(線幅測定値CD)の分布を得る。
テストウェハWの面内の各測定点における線幅の測定値の分布が求められた後、本体制御部30において、次の関係式(1)により、テストウェハWの面内の各測定点における温度補正値ΔTが算出される。
ΔCD=M・ΔT ・・・・・(1)
ΔCDは、線幅測定値CDと、予め設定されている所定の目標線幅CD0との差CD−CD0に等しい線幅変化量である。また、温度補正値ΔTは、補正前の設定温度T0と、補正後の設定温度Tとの差T−T0に等しい。更に、Mは、予め求められた線幅変化量ΔCDと温度補正値ΔTとの相関から作成された温度係数である。
図8に一例を示すように、例えばArF液浸露光用等のポジレジストは、一般的にポストエクスポージャー温度が上昇するにつれて線幅(CD)が細くなる傾向を有する。図8の例では、線幅測定値CD(nm)と、補正前の設定温度T0(℃)とは、感度を−3.0nm/℃とする略直線関係を有している。従って、本体制御部30では、関係式(1)を用いて、各測定点における線幅測定値CDから各測定点に対応する各赤外LED62における温度補正値ΔTを算出することができる。
本実施の形態では、図5に示すように、突起81及び温度センサ82は29箇所設けられている。この場合には、上記のようにして得られた各測定点データから近似曲線により目標温度分布を求め、その目標温度分布のうち29箇所の温度センサ82に該当する測定点の温度補正値ΔTの値を用いて、29箇所の温度センサ82の温度設定値を補正するようにしてもよい。以下では、線幅測定装置20の線幅(CD)の測定結果から温度が求められた各測定点のうち、一部の測定点における温度補正値ΔTを用いて、加熱部60の設定温度Tを補正する例について説明する。
その後、各温度補正値ΔTの情報が、本体制御部30からポストエクスポージャーベーキング装置PEBの制御部110に出力される。そして、制御部110において、各温度補正値ΔTの情報に基づいて、加熱部60の設定温度を補正し、新たな設定温度に調整される。ここで加熱部60の設定温度とは、例えば温度センサ82で測定される温度がその温度に近づくように制御する温度を意味する。そして、補正(調整)された設定温度に基づいて、制御部110は、例えば、温度センサ82により測定される温度が、補正された設定温度になるように、加熱部60を制御する。前述したように、温度センサ82が突起81に設けられることにより、ウェハWの温度をよりウェハWに近い位置で測定することができるため、制御部110が加熱部60を制御する際の、ウェハWの温度をより精度よく制御することができる。
なお、制御部110が、温度センサ82により測定される温度が、補正された設定温度になるように、加熱部60を制御するときは、温度センサ82の数を更に増やすことにより、更に精度良く、温度設定値を補正することができる。
また、載置部80に設けられた温度センサ82とは別に、例えばモジュール基板61にウェハWの温度を測定するための温度センサを設け、その温度センサにより測定される温度が、補正された設定温度になるように、加熱部60を制御するようにしてもよい。
また、更に、モジュール基板61に、赤外LED62の配列間隔と略等しい配列間隔で、温度センサを設けてもよい。あるいは、予め各赤外LED62の電圧電流特性の温度依存性を取得しておき、制御部110が、各赤外LED62の電圧電流特性から加熱処理中の温度を算出するようにしてもよい。このように、赤外LED62の配列間隔と略等しい配列間隔で温度センサを設けることにより、赤外LED62と略同じ空間分解能で、ウェハWの温度を均一化することもできる。
また、本実施の形態では、製品ウェハWを熱処理する際に、予めテストウェハWについて求められた温度補正値に基づいて、加熱部60の設定温度を補正する例について説明した。しかし、後の製品ウェハWを熱処理する際に、始めの製品ウェハWについて求められた温度補正値に基づいて、加熱部60の設定温度を補正してもよい。
一方、図9から図11を参照し、ポストエクスポージャーベーキング装置PEBにおいて、加熱部が、二次元的に配列されている、ウェハWを加熱する複数の加熱素子を備えていない場合について、比較例として説明する。
図9及び図10は、それぞれ比較例に係るポストエクスポージャーベーキング装置を示す概略正面図及び概略平面図である。図11は、比較例に係るポストエクスポージャーベーキング装置の熱板の概略の構成を示す平面図である。
比較例に係るポストエクスポージャーベーキング装置PEBは、図9及び図10に示すように、筐体200内に、ウェハWを加熱処理する加熱部260と、ウェハWを冷却処理する冷却部290を備えている。
加熱部260は、図9に示すように、上側に位置して上下動自在な蓋体240と、下側に位置してその蓋体240と一体となって処理室Sを形成する収容部250を備えている。
蓋体240の天井部の中央には、排気管241が接続されており、処理室S内の雰囲気を、排気管241を介して図示しない排気部により排気できる。
また、比較例に係るポストエクスポージャーベーキング装置PEBでは、二次元的に配列されている複数の加熱素子を備える加熱部に代え、熱板261を有する。図11に示すように、熱板261は、複数、例えば6つの熱板領域J1、J2、J3、J4、J5、J6に区画されている。熱板領域J1〜J6は、例えば平面から見て扇型状に熱板261を6等分するように区画されている。
熱板261の各熱板領域J1〜J6には、給電により発熱するヒータ262が個別に内蔵され、熱板領域J1〜J6毎に加熱できる。各熱板領域J1〜J6のヒータ262の発熱量は、例えば制御部310により調整されている。また、制御部310は、本体制御部330と接続されている。
冷却部290には、ウェハWを載置して冷却する冷却板291が設けられている。冷却板291は、例えば図10に示すように略方形の平板形状を有し、熱板261側の端面が外側に凸の円弧状に湾曲している。冷却板291の内部には、例えばペルチェ素子などの冷却部材292が内蔵されており、冷却板291を所定の設定温度に調整できるのは、本実施の形態と同様である。
冷却板291は、熱板261側に向かって延伸するレール293に取付けられている。冷却板291は、駆動部294によりレール293上を移動し、熱板261の上方まで移動できる。図10に示すように、冷却板291を挟んだ筐体200の両側壁には、ウェハWを搬入出するための搬入出口201が形成されている。
また、冷却板291には、X方向に沿った2本のスリット300が形成されている。このスリット300により、加熱部260側に移動した冷却板291と熱板261上に突出した昇降ピン301との干渉が防止される。昇降ピン301は、昇降駆動機構302により昇降駆動される。また、冷却板291の下方には、昇降ピン303が設けられている。昇降ピン303は、昇降駆動機構304により昇降駆動される。昇降ピン303は、冷却板291の下方から上昇し、スリット300を通過して冷却板291の上方に突出できる。
比較例では、各熱板領域J1〜J6内では、ヒータ262の発熱量を、各領域内で更に分割して調整することができない。そのため、本実施の形態で説明したように、温度センサが29箇所設けられていたとしても、その温度センサにより計測した温度分布を補正するように、各熱板領域J1〜J6のヒータ262の発熱量を調整することはできない。従って、線幅測定装置の線幅の測定結果から温度が求められた各測定点の温度補正値を用いて、温度センサの温度設定値を補正することができず、ウェハWの面内における線幅のばらつきを低減させることはできない。
また、比較例では、各熱板領域J1〜J6内で、熱板261の温度を安定化するために、ポストエクスポージャーベーキングを行う前に、予めヒータ262に給電し、設定温度に到達させておかなければならない。そのため、熱板261にウェハWが載置されているときも、熱板261にウェハWが載置されていないときも、ヒータ262に給電し、常に100℃程度まで加熱しておかなければならず、ヒータ262に待機電力を供給する必要がある。
また、比較例では、冷却部290が加熱部260に隣接して設けられている。そのため、ポストエクスポージャーベーキング装置PEBの筐体200の設置面積(フットプリント)は、加熱部260の設置面積(フットプリント)と冷却部290の設置面積(フットプリント)との総和になる。
一方、本実施の形態では、加熱部60は、小さな加熱素子62を多数配置している。また、前述したように、線幅測定装置20が線幅(CD)を測定したウェハWの面内の複数の測定点における温度補正値ΔTを用いることができる。よって、29箇所全ての温度センサ82の温度設定値をより精度良く補正することができ、ウェハWの面内における線幅のばらつきを低減することができる。
また、本実施の形態では、ウェハWに赤外線を照射して加熱するため、加熱部60の各加熱素子62には、ポストエクスポージャーベーキングを行う間のみ、給電するように運用することが可能である。そのため、載置部80にウェハWが載置されていないときは、加熱部60に給電する必要がなく、待機電力を供給する必要がない。よって、ポストエクスポージャーベーキング装置PEBにおける消費電力を低減することができる。
また、本実施の形態では、加熱部60の下方に冷却部90が設けられている。そのため、ポストエクスポージャーベーキング装置PEBの設置面積(フットプリント)は、加熱部60の設置面積(フットプリント)の分だけ有ればよく、冷却部90単独での設置面積(フットプリント)が不要である。
具体的に、線幅目標値が83.9nmであるレジストパターンが形成されたウェハWについて、温度補正の前後でウェハWの面内で線幅(CD)のばらつき3σがどれだけ低減されるか、上記した本実施の形態と比較例とを行って比較した。本実施の形態に係る方法では、3σが温度補正前の1.81nmから0.27nmに低減した。一方、比較例では、3σが温度補正前の1.81nmから1.61nmにしか低減しなかった。従って、本実施の形態に係る熱処理装置及び熱処理方法を用いて温度補正を行うことにより、ウェハWの面内での線幅(CD)のばらつきを低減することができる。
以上、本実施の形態によれば、前の基板の面内におけるレジストパターンの線幅の測定値に基づいて、その基板の面内における温度補正値を求め、後の基板を熱処理する際に、その温度補正値に基づいて、加熱部の設定温度を補正する。よって、基板を熱処理する熱処理装置及びその熱処理装置における熱処理方法において、基板の面内における線幅のばらつきを低減することができ、消費電力を低減することができる。
更に、本実施の形態によれば、加熱部の下方に冷却部が設けられている。従って、冷却部を、平面視において加熱部と別の位置に冷却板を設ける必要がない。よって、レジスト塗布現像処理システムのフットプリントを低減することができる。
(第1の実施の形態の第1の変形例)
次に、図12を参照し、第1の実施の形態の第1の変形例に係る熱処理装置及び熱処理方法について説明する。
本変形例に係る熱処理装置及び熱処理方法は、ウェハの面内の複数の測定点におけるレジストパターンの線幅の測定値を平均した平均値に基づいて、温度補正値を求める点で、第1の実施の形態に係る熱処理装置及び熱処理方法と相違する。
図12は、ウェハ上に配列するように形成される複数のチップの配置と、ウェハ上に形成されたレジストパターンの線幅(CD)を測定する測定点との関係を示す平面図である。なお、以下の文中では、先に説明した部分には同一の符号を付し、説明を省略する場合がある(以下の変形例及び実施の形態においても同様)。
本変形例でも、図12(a)に示すように、例えば12インチサイズのウェハWに、縦15mm×横15mmの正方形のチップサイズを有するチップCHが形成される場合について説明する。また、本変形例でも、その1チップCHの領域内において、図12(b)に示すように、縦3箇所×横3箇所=9箇所を、線幅(CD)を測定する測定点とする。すなわち、線幅(CD)を測定する測定点は、縦5mm、横5mmの配列間隔で二次元的に配列する。
しかし、本変形例では、制御部110が加熱部60によりあるウェハWを熱処理する制御工程を行う際に、チップCH内の例えば9の測定点P1〜P9での線幅(CD)の測定値を平均した平均値CDAveを用いる。そして、線幅CDAveを、そのチップCHにおける測定点の代表点、例えば測定点P5、における線幅とみなすことになる。
また、時間の都合でチップ内複数個所の測定が困難である場合は、代表して一箇所、例えばP5のみを測定することで、該当チップの代表値とみなすことも可能である。
テストウェハWの各チップCHにおける線幅の平均値CDAveが求められた後、本体制御部30において、前述した関係式(1)により、テストウェハWの各チップCHにおける温度補正値ΔTが算出される。その後、各温度補正値ΔTの情報が、本体制御部30からポストエクスポージャーベーキング装置PEBの制御部110に出力される。そして、制御部110において、各温度補正値ΔTの情報に基づいて、加熱部60の設定温度を補正し、新たな設定温度に調整される。
図7を用いて説明したあるチップCH内の各測定点P1〜P9における線幅CD1〜CD9は、あるチップCHにおける測定値の平均値CDAveの成分と、あるチップCH内における測定値の平均値CDAveとの差D1〜D9の成分とに分けることができる。すなわち、CD1=CDAve+D1、CD2=CDAve+D2、・・・CD9=CDAve+D9となる。このうち、平均値の成分CDAveのチップCH間におけるばらつきは、主として、ウェハWの面内における露光量又はポストエクスポージャーベーキングの温度のばらつきに起因する。また、差の成分D1〜D9のチップCH内におけるばらつきは、主として、露光時におけるレチクルの誤差、レンズの収差又はショット(チップ)CH内の露光量のばらつきに起因する。
従って、本変形例において、チップCH内の各測定点P1〜P9における線幅CD1〜CD9を平均値CDAveで代表して表す場合でも、ウェハWの面内における露光量又はポストエクスポージャーベーキングの温度のばらつきを表すことができる。
本変形例では、全ての線幅の測定点での測定値を用いることはせず、テストウェハWの全ての測定点での温度補正値は算出しない。例えば、1チップ内における測定点の線幅の平均値を用いる。しかし、本変形例のようにチップCHの配列間隔が温度センサの配列間隔よりも小さいときは、ウェハWの面内における温度を、なお十分な空間分解能で均一化することができる。
具体的に、線幅目標値が83.9nmであるレジストパターンが形成されたウェハWについて、温度補正の前後でウェハWの面内で線幅(CD)のばらつき3σがどれだけ低減されるか、本変形例と第1の実施の形態で前述した比較例とを比較した。本変形例に係る方法では、3σが温度補正前の1.81nmから1.26nmに低減した。一方、比較例では、3σが温度補正前の1.81nmから1.61nmにしか低減しなかった。
従って、本変形例でも、第1の実施の形態と同様にウェハの面内における線幅のばらつきを低減できるとともに、線幅測定装置の制御部及びポストエクスポージャーベーキング装置の制御部における処理量を低減することができる。よって、装置コスト及び消費電力を低減することができる。
(第1の実施の形態の第2の変形例)
次に、図13を参照し、第1の実施の形態の第2の変形例に係る熱処理装置及び熱処理方法について説明する。
本変形例に係る熱処理装置及び熱処理方法は、ウェハの中心側よりも周縁側において、細かく温度制御する点で、第1の実施の形態に係る熱処理装置及び熱処理方法と相違する。
なお、本変形例では、ウェハの中心側よりも周縁側において、より小さな間隔で温度センサを有する突起を設けるのでもよい。また、ウェハの中心側よりも周縁側において、より小さな間隔で線幅を測定するのでもよい。あるいは、ウェハの中心側よりもウェハの周縁側において、より小さな間隔で複数の加熱素子を設けるのでもよい。ここでは、それらを代表し、ウェハの中心側よりも周縁側において、より小さい間隔で温度センサを有する突起を設ける場合について説明する。
図13は、本変形例に係るポストエクスポージャーベーキング装置の蓋体を外したときの概略平面図である。ただし、図13では、図示を容易にするために、赤外LED62及び貫通孔63の図示を省略している。
本変形例では、図13に示すように、ウェハWの中心側よりも周縁側、特に外周付近において、温度センサ82を備えた突起81を小さな間隔で配置して設けている。
本変形例でも、加熱部60により製品ウェハWを熱処理する制御工程を行う際に、テストウェハWの面内の測定点における線幅の測定値に基づいて、本体制御部30において、前述した関係式(1)により、各測定点での温度補正値ΔTが算出される。その後、各温度補正値ΔTの情報が、本体制御部30からポストエクスポージャーベーキング装置PEBの制御部110に出力される。そして、制御部110において、各温度補正値ΔTの情報に基づいて、加熱部60の設定温度を補正し、新たな設定温度に調整される。そして、補正(調整)された設定温度に基づいて、制御部110は、温度センサ82により測定される温度が、補正された設定温度になるように、加熱部60を制御する。
このとき、ウェハWの中心側よりも周縁側において、より小さい間隔で温度センサ82(突起81)が設けられている。従って、ウェハWの周縁側において、ポストエクスポージャーベーキングを行う際のウェハWの温度分布をより精度良く制御することができる。その結果、ウェハWの周縁側においても、ウェハWの中心側と同様に、線幅(CD)の幅寸法のばらつきを低減することができる。
具体的に、線幅目標値が83.9nmであるレジストパターンが形成されたウェハWについて、温度補正の前後でウェハWの面内で線幅(CD)のばらつき3σがどれだけ低減されるか、本変形例と第1の実施の形態で前述した比較例とを比較した。本変形例に係る方法では、3σが温度補正前の3.89nmから0.63nmに低減した。一方、比較例では、3σが温度補正前の3.89nmから2.42nmにしか低減しなかった。従って、ウェハWの面内における線幅のばらつきを、更に低減できる。
(第2の実施の形態)
次に、図14及び図15を参照し、第2の実施の形態に係る熱処理装置及び熱処理方法について説明する。
本実施の形態に係る熱処理装置及び熱処理方法は、加熱部が、複数の発光素子とウェハとの間に、発光素子が発光する赤外線を透過又は遮断する複数の液晶素子を備える点で、第1の実施の形態に係る熱処理装置及び熱処理方法と相違する。
図14は、本実施の形態に係るポストエクスポージャーベーキング装置を示す概略正面図である。図15は、本実施の形態に係るポストエクスポージャーベーキング装置の蓋体を外したときの概略平面図である。
図14に示すように、ポストエクスポージャーベーキング装置PEBが、蓋体40、収容部50、加熱部60a、載置部80a、昇降ピン100及び制御部110を有するのは、第1の実施の形態と同様である。また、蓋体40、収容部50、昇降ピン100及び制御部110は、第1の実施の形態と同様である。
一方、加熱部60aは、発光素子62とウェハWとの間に複数の液晶素子72よりなる液晶パネル70を備える。また、載置部80aは、加熱部60aの液晶パネル70から上方に突出して設けられる。
図14に示すように、加熱部60aは、複数の発光素子62とウェハWとの間に、液晶パネル70を有する。液晶パネル70は、図15に示すように、モジュール基板71上に、略同一平面上に、略格子状に、二次元的に配列されている液晶素子(ピクセル)72を備える。各液晶素子72は、発光素子62が発光する赤外線を液晶素子(ピクセル)72毎に制御可能に透過又は遮断する。また、制御部110は、あるウェハWを熱処理する制御工程を行う際に、それぞれの液晶素子(ピクセル)72を赤外線が透過する透過率を制御する。
図15に示すように、載置部80aは、加熱部60aの液晶パネル70から上方に突出して設けられる複数の突起81である。ウェハWは、複数の突起81に保持されるように、載置される。ウェハWを複数の突起81により保持することにより、載置部80aは、液晶素子72が配列されている液晶パネル70を含む加熱部60aの上端から、所定の距離だけ上方に離隔してウェハWを保持することができる。これにより、ウェハWの下面と加熱部60aとの間に空間を設けることができる。空間を設けることにより、加熱部60aの上にゴミが付着していた場合でも、そのゴミがウェハWに付着することを防止できる。また赤外LED62により加熱されたウェハWによって、赤外LED62自身が加熱されて損傷を受けることを防止できる。
複数の突起81には、第1の実施の形態と同様に、載置されているウェハWの温度を測定する温度センサ82が設けられている。温度センサ82が設けられることにより、ウェハWの温度をよりウェハWに近い位置で測定することができる。
本実施の形態では、液晶パネル70として、液晶素子72の1ピクセルのサイズが約0.2mmのものを用いることができる。また、前述したように、赤外LED62として、直径が5mmφ程度のものが、略格子状に規則正しく、隙間なく配列されている。すなわち、液晶素子72の間隔は、発光素子62の間隔よりも小さい。このようなときは、発光素子62とウェハWとの間に液晶パネル70を備えることにより、ウェハWの面内での温度の分布をより細かく制御することができる。
なお、本実施の形態でも、第1の実施の形態の第1の変形例で説明したように、あるチップCH内における線幅の測定値の平均値CDAveに基づいて、そのチップCH内における温度補正値ΔTを求めてもよい。また、本実施の形態でも、第1の実施の形態の第2の変形例で説明したように、ウェハWの中心側よりも周縁側において、細かく温度制御してもよい。
(第3の実施の形態)
次に、図16を参照し、第3の実施の形態に係る塗布現像処理システム及び塗布現像処理方法について説明する。
本実施の形態に係る塗布現像処理システム及び塗布現像処理方法は、露光されたウェハのチップが配列する方向と、加熱素子が配列されている方向とが略平行になるように、位置合わせを行うアライメント装置を有する点で、第1の実施の形態と相違する。
図16は、本実施の形態に係る塗布現像処理システムの構成の概略を示す平面図である。
図16に示すように、塗布現像処理システム1aが、カセットステーション2、処理ステーション3、インターフェイスステーション4を一体に接続した構成を有しているのは、第1の実施の形態と同様である。また、カセットステーション2及びインターフェイスステーション4も、第1の実施の形態と同様である。また、処理ステーション3も、以下に説明するトランジション装置TRS以外の部分は、ポストエクスポージャーベーキング装置PEBも含め、第1の実施の形態と同様である。
一方、処理ステーション3のインターフェイスステーション4側に設けられたトランジション装置TRSは、ウェハWを位置合わせするアライメント工程を行うための機能を有する。各ウェハWには、位置合わせを行うための基準として、ウェハWの周縁の一部を直線的に切断した、いわゆるオリエンテーションフラット(WF)と称される切欠き部(cut−out)や、ウェハWの周縁の一部に凹みを形成したいわゆるノッチ(WN)と称される切欠き部が形成されている。従って、ウェハWに形成された小さな切り欠き(ノッチ)を基準として位置合わせを行うノッチアライメント処理するための機能であってもよい。以下では、ノッチWNを有するウェハWについて、切欠き部WNの位置合わせを行うノッチアライメント処理する例について、説明する。
なお、本実施の形態に係るトランジション装置TRSは、本発明におけるアライメント装置に相当する。また、本実施の形態において位置合わせを行う工程は、本発明におけるアライメント工程に相当する。
図16に示すように、トランジション装置TRSは、回転載置台120と、回転載置台120に載置されたウェハWの周縁を例えば上下から挟むように対向して配設された光学手段121とを備えている。トランジション装置TRSは、回転載置台120上でウェハWを回転させ、光学手段121によりウェハWの周縁の周縁形状(プロフィル)に関する情報を獲得する。そして、獲得された情報に基づいて、ウェハWの回転載置台120の回転中心からの偏心量および偏心方向を求めて位置合わせを行う。次いで、改めてウェハWの周縁の周縁形状に関する情報を獲得し、その情報よりウェハWの切欠き部WNの方向を求める。そして、回転載置台120を所定量回転させて、第1の搬送装置9に対してウェハWの切欠き部WNの方向の位置合わせを行う。
以上のように構成された塗布現像処理システム1aにおけるウェハWの塗布現像処理プロセスと、ウェハWの面内の線幅測定プロセスは、次のように行われる。
先ず、図1に示すウェハ搬送体7によって、カセット載置台5上のカセットC内から製品用のウェハWn(nは、1以上の自然数)が一枚ずつ取り出され、インターフェイスステーション4のウェハ搬送体11によって図示しない露光装置に搬送され、露光される。ここまでの各工程は、第1の実施の形態と同様にすることができる。
一方、露光処理の終了したウェハWnは、ウェハ搬送体11によってインターフェイスステーション4側のトランジション装置TRSに受け渡される。トランジション装置TRSに受け渡されたウェハWnは、切欠き部WNを基準として位置合わせされる(アライメント工程)。位置合わせ(アライメント工程)が終了したウェハWnは、第1の搬送装置9によって、ポストエクスポージャーベーキング装置PEBに搬送され、ポストエクスポージャーベーキングが施される。
その後、高精度温調装置CPLに搬送されて温度調節されてから、ウェハ搬送体7によってカセットCに戻されるまでは、第1の実施の形態と同様にすることができる。
図7を用いて説明したように、ウェハW上に形成されたチップCHは、通常格子状に並んでいる。ウェハWの面内におけるレジストパターンの線幅のばらつきを低減させるためには、格子状に配列するチップCHの配列方向を、図5を用いて説明した、格子状に配列されている赤外LED62の配列方向に略平行になるように、揃えることが好ましい。しかし、露光の終了したウェハWをそのままポストエクスポージャーベーキング装置PEBに入れた場合、チップCHの配列方向が赤外LED62の配列方向と平行になっていない。
本実施の形態では、トランジション装置TRSに設けられた、回転載置台120及び光学手段121を用いたアライメント機能により、ウェハWの切欠き部WNの方向を位置合わせした後に、ポストエクスポージャーベーキング装置PEBに入れ、熱処理する。これにより、ウェハWに形成されるチップCHの配列方向を、赤外LED62の配列方向に略平行になるように、揃えることができ、各チップCH間における温度のばらつきを、更に低減することができる。
以上、本発明の好ましい実施の形態について記述したが、本発明はかかる特定の実施の形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
また、本発明に係る熱処理装置は、塗布現像処理システムのみならず、成膜装置、エッチング装置その他の各種装置に適用することが可能である。また、本発明は、半導体基板、ガラス基板その他の各種基板を搬送する工程を含む装置に適用することが可能である。
1 塗布現像処理システム
20 線幅測定装置
30 本体制御部
60 加熱部
62 発光ダイオード(赤外LED)
70 液晶パネル
72 液晶素子
80 載置部
81 突起
82 温度センサ
90 冷却部
110 制御部
PEB ポストエクスポージャーベーキング装置
TRS トランジション装置

Claims (17)

  1. 基板上にレジスト膜が形成された前記基板を露光した後、現像処理することによって前記基板上にレジストパターンを形成するために、露光された前記基板を、現像処理する前に熱処理する熱処理装置において、
    二次元的に配列されている複数の加熱素子を備え、露光された前記基板を熱処理する加熱部と、
    前記加熱部の上方に設けられた、前記基板が載置される載置部と、
    前記加熱部により一の基板を熱処理する際に、予め前記加熱部により熱処理した後、現像処理することによって前記レジストパターンが形成された他の基板における前記レジストパターンの線幅の測定値から求められた温度補正値に基づいて、前記加熱部の設定温度を補正し、補正された前記設定温度に基づいて、前記加熱部を制御する制御部と
    を有し、
    前記載置部は、前記加熱部から上方に突出して設けられた、載置される前記基板を前記加熱部の上端から所定の距離だけ上方に離隔して保持する複数の突起を備え
    前記基板は、前記基板上に複数のチップが配列するように形成されるものであり、
    前記複数の加熱素子の配列間隔は、前記複数のチップの配列間隔よりも小さい、熱処理装置。
  2. 前記加熱素子は、赤外線を発光する発光素子であり、
    前記制御部は、前記一の基板を熱処理する際に、それぞれの前記発光素子から前記基板に到達する光量を制御する、請求項1に記載の熱処理装置。
  3. 前記発光素子は、発光ダイオードである、請求項2に記載の熱処理装置。
  4. 前記加熱部は、前記複数の発光素子と前記基板との間で二次元的に配列された、前記発光素子が発光する赤外線を透過又は遮断する複数の液晶素子を備え、
    前記制御部は、前記一の基板を熱処理する際に、それぞれの前記液晶素子を前記赤外線が透過する透過率を制御する、請求項2又は請求項3に記載の熱処理装置。
  5. 前記複数の突起に設けられた、載置されている前記基板の温度を測定する温度センサを有する、請求項1から請求項4のいずれかに記載の熱処理装置。
  6. 前記加熱部の下方に設けられた、前記基板又は前記加熱部を冷却する冷却部を有する、請求項1から請求項5のいずれかに記載の熱処理装置。
  7. 基板上にレジストを塗布処理し、前記基板上に前記レジストが塗布処理された前記基板を熱処理することによって前記基板上にレジスト膜を形成し、前記レジスト膜が形成された前記基板を露光した後、現像処理することによって、前記基板上にレジストパターンを形成する塗布現像処理システムにおいて、
    露光された前記基板を、現像処理する前に熱処理する、請求項1から請求項のいずれかに記載の熱処理装置と、
    前記レジストパターンの線幅を測定する線幅測定装置と
    を有し、
    前記制御部は、前記加熱部により前記一の基板を熱処理する際に、予め前記線幅測定装置が測定した、前記他の基板における前記線幅の測定値から求められた、前記温度補正値に基づいて、前記設定温度を補正する、塗布現像処理システム。
  8. 前記基板は、前記基板上に複数のチップが配列するように形成されるものであり、
    露光された前記基板が前記載置部に載置される際に、前記複数のチップが配列する方向と、前記複数の加熱素子が配列されている方向とが略平行になるように、位置合わせを行うアライメント装置を有する、請求項に記載の塗布現像処理システム。
  9. 二次元的に配列されている複数の加熱素子を備え、基板を熱処理する加熱部と、前記加熱部の上方に設けられた、前記基板が載置される載置部とを有する熱処理装置により、基板上にレジスト膜が形成された前記基板を露光した後、現像処理することによって前記基板上にレジストパターンを形成するために、露光された前記基板を、現像処理する前に熱処理する熱処理方法であって、
    前記加熱部により一の基板を熱処理する際に、予め前記加熱部により熱処理した後、現像処理することによって前記レジストパターンが形成された他の基板における前記レジストパターンの線幅の測定値から求められた温度補正値に基づいて、前記加熱部の設定温度を補正し、補正された前記設定温度に基づいて、前記加熱部を制御する制御工程を有し、
    前記載置部は、前記加熱部から上方に突出して設けられた、載置される前記基板を前記加熱部の上端から所定の距離だけ上方に離隔して保持する複数の突起を備え
    前記基板は、前記基板上に複数のチップが配列するように形成されるものであり、
    前記複数の加熱素子の配列間隔は、前記複数のチップの配列間隔よりも小さい、熱処理方法。
  10. 前記加熱素子は、赤外線を発光する発光素子であり、
    前記制御工程において、前記一の基板を熱処理する際に、それぞれの前記発光素子から前記基板に到達する光量を制御する、請求項に記載の熱処理方法。
  11. 前記発光素子は、発光ダイオードである、請求項10に記載の熱処理方法。
  12. 前記加熱部は、前記複数の発光素子と前記基板との間で二次元的に配列された、前記発光素子が発光する赤外線を透過又は遮断する複数の液晶素子を備え、
    前記制御工程において、前記一の基板を熱処理する際に、それぞれの前記液晶素子を前記赤外線が透過する透過率を制御する、請求項10又は請求項11に記載の熱処理方法。
  13. 前記熱処理装置は、前記複数の突起に設けられた、載置されている前記基板の温度を測定する温度センサを有する、請求項9から請求項12のいずれかに記載の熱処理方法。
  14. 前記熱処理装置は、前記加熱部の下方に設けられた、前記基板又は前記加熱部を冷却する冷却部を有する、請求項から請求項13のいずれかに記載の熱処理方法。
  15. 基板上にレジストを塗布処理し、前記基板上に前記レジストが塗布処理された前記基板を熱処理することによって前記基板上にレジスト膜を形成し、前記レジスト膜が形成された前記基板を露光した後、現像処理することによって、前記基板上にレジストパターンを形成する塗布現像処理方法において、
    請求項から請求項14のいずれかに記載の熱処理方法により、露光された前記基板を、現像処理する前に熱処理する熱処理工程と、
    前記レジストパターンの線幅を測定する線幅測定工程と
    を有し、
    前記制御工程において、前記加熱部により前記一の基板を熱処理する際に、予め前記線幅測定工程により測定した、前記他の基板における前記線幅の測定値から求められた、前記温度補正値に基づいて、前記設定温度を補正する、塗布現像処理方法。
  16. 前記基板は、前記基板上に複数のチップが配列するように形成されるものであり、
    露光された前記基板が前記載置部に載置される際に、前記複数のチップが配列する方向と、前記複数の加熱素子が配列されている方向とが略平行になるように、位置合わせを行うアライメント工程を有する、請求項15に記載の塗布現像処理方法。
  17. コンピュータに請求項から請求項14のいずれかに記載の熱処理方法又は請求項15若しくは請求項16に記載の塗布現像処理方法を実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体。
JP2010023088A 2010-02-04 2010-02-04 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体 Active JP5107372B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010023088A JP5107372B2 (ja) 2010-02-04 2010-02-04 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体
TW099142820A TWI502622B (zh) 2010-02-04 2010-12-08 熱處理裝置、塗佈顯影處理系統、熱處理方法、塗佈顯影處理方法及記錄有用來實施該熱處理方法或塗佈顯影處理方法之程式的記錄媒體
KR1020100131566A KR101605918B1 (ko) 2010-02-04 2010-12-21 열처리 장치, 도포 현상 처리 시스템, 열처리 방법, 도포 현상 처리 방법 및 그 열처리 방법 또는 도포 현상 처리 방법을 실행시키기 위한 프로그램을 기록한 기록 매체
CN2011100353688A CN102169812A (zh) 2010-02-04 2011-01-31 热处理装置及其方法和涂布显影处理系统及其方法
US13/018,877 US8927906B2 (en) 2010-02-04 2011-02-01 Heating device, coating/developing system, heating method, coating/developing method, and recording medium having program for executing heating method or coating/developing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010023088A JP5107372B2 (ja) 2010-02-04 2010-02-04 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体

Publications (2)

Publication Number Publication Date
JP2011165693A JP2011165693A (ja) 2011-08-25
JP5107372B2 true JP5107372B2 (ja) 2012-12-26

Family

ID=44341999

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010023088A Active JP5107372B2 (ja) 2010-02-04 2010-02-04 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体

Country Status (5)

Country Link
US (1) US8927906B2 (ja)
JP (1) JP5107372B2 (ja)
KR (1) KR101605918B1 (ja)
CN (1) CN102169812A (ja)
TW (1) TWI502622B (ja)

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5789546B2 (ja) 2011-04-26 2015-10-07 東京エレクトロン株式会社 塗布処理装置、塗布現像処理システム、並びに塗布処理方法及びその塗布処理方法を実行させるためのプログラムを記録した記録媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5915026B2 (ja) * 2011-08-26 2016-05-11 住友大阪セメント株式会社 温度測定用板状体及びそれを備えた温度測定装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2963372B1 (en) * 2013-02-26 2019-01-02 NGK Insulators, Ltd. Drying device
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5980147B2 (ja) * 2013-03-08 2016-08-31 日本発條株式会社 基板支持装置
JP6020344B2 (ja) * 2013-05-10 2016-11-02 東京エレクトロン株式会社 レジストパターン形成方法、塗布、現像装置、記憶媒体
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015081167A1 (en) * 2013-11-27 2015-06-04 Tokyo Electron Limited Substrate tuning system and method using optical projection
EP3095128B1 (en) 2014-01-17 2023-11-22 TRUMPF Photonic Components GmbH Heating system comprising semiconductor light sources
JP2015145927A (ja) * 2014-01-31 2015-08-13 Jsr株式会社 表示素子の硬化膜の製造方法、感放射線性樹脂組成物、表示素子の硬化膜、表示素子及び加熱装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103941773A (zh) * 2014-04-22 2014-07-23 上海和辉光电有限公司 涂布显影设备及其温度控制设备
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN104950581A (zh) * 2015-07-02 2015-09-30 武汉华星光电技术有限公司 烘烤装置和光刻胶层的硬化方法
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI742515B (zh) 2016-07-21 2021-10-11 日商東京威力科創股份有限公司 半導體裝置之製造方法、真空處理裝置及基板處理裝置
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6892727B2 (ja) * 2016-09-26 2021-06-23 カンタツ株式会社 パターン製造装置、パターン製造方法およびパターン製造プログラム
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108663914B (zh) * 2017-03-30 2021-10-08 台湾积体电路制造股份有限公司 烘烤方法
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN107092168A (zh) * 2017-04-26 2017-08-25 昆山国显光电有限公司 光刻的显影辅助方法及其设备
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN107422609A (zh) * 2017-05-25 2017-12-01 昆山国显光电有限公司 一种光刻的显影辅助方法及设备
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
CN107272233A (zh) * 2017-07-24 2017-10-20 武汉华星光电技术有限公司 对位装置
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN107479339B (zh) * 2017-09-01 2019-11-05 京东方科技集团股份有限公司 显影装置及其显影方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6964005B2 (ja) * 2018-01-09 2021-11-10 東京エレクトロン株式会社 熱処理装置、熱板の冷却方法及びコンピュータ読み取り可能な記録媒体
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102078157B1 (ko) * 2018-04-16 2020-02-17 세메스 주식회사 기판 가열 유닛 및 이를 갖는 기판 처리 장치
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102099884B1 (ko) * 2018-09-27 2020-04-13 세메스 주식회사 기판 가열 유닛 및 이를 갖는 기판 처리 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110320761B (zh) * 2019-06-19 2022-01-11 京东方科技集团股份有限公司 一种曝光设备和曝光系统
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN114127903A (zh) * 2019-07-19 2022-03-01 东京毅力科创株式会社 基片处理装置和处理条件调节方法
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102184871B1 (ko) * 2019-12-11 2020-12-01 주식회사 씨티랩 웨이퍼 세정 장치용 조명 장치 및 이를 이용한 세정 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR102406087B1 (ko) * 2020-03-23 2022-06-10 엘에스이 주식회사 회전 척에 내장된 광원을 이용한 기판 처리 장치
CN111403319A (zh) * 2020-03-23 2020-07-10 宁波润华全芯微电子设备有限公司 一种晶圆加热器
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102579155B1 (ko) * 2021-06-08 2023-09-18 세메스 주식회사 기판 처리 방법 및 장치, 온도 제어 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2088701U (zh) * 1989-10-23 1991-11-13 李朝晖 自调透光度的遮光装置
JP4090104B2 (ja) * 1998-03-31 2008-05-28 株式会社Sokudo 基板熱処理装置
JP2003100605A (ja) * 2001-09-25 2003-04-04 Dainippon Screen Mfg Co Ltd 基板熱処理装置
CN100356269C (zh) * 2003-10-22 2007-12-19 联华电子股份有限公司 发光二极管照明装置
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
JP4444090B2 (ja) * 2004-12-13 2010-03-31 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP4509820B2 (ja) * 2005-02-15 2010-07-21 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP4397836B2 (ja) * 2005-03-02 2010-01-13 東京エレクトロン株式会社 フォトリソグラフィー工程における処理条件の設定方法,フォトリソグラフィー工程における処理条件の設定装置,プログラム及びプログラムを読み取り可能な記録媒体
JP4970882B2 (ja) 2006-09-25 2012-07-11 東京エレクトロン株式会社 基板の測定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び基板の測定システム
JP4850664B2 (ja) * 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
JP4788610B2 (ja) * 2007-01-17 2011-10-05 東京エレクトロン株式会社 加熱装置、塗布、現像装置、加熱方法及び記憶媒体
JP4891139B2 (ja) * 2007-04-20 2012-03-07 東京エレクトロン株式会社 熱処理板の温度設定方法、熱処理板の温度設定装置及びコンピュータ読み取り可能な記憶媒体
US7910863B2 (en) * 2007-09-20 2011-03-22 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
JP5065082B2 (ja) * 2008-02-25 2012-10-31 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5017147B2 (ja) * 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
JP5186264B2 (ja) * 2008-03-28 2013-04-17 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5162314B2 (ja) * 2008-04-25 2013-03-13 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP2010003905A (ja) * 2008-06-20 2010-01-07 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理システム

Also Published As

Publication number Publication date
TW201137935A (en) 2011-11-01
JP2011165693A (ja) 2011-08-25
US20110189602A1 (en) 2011-08-04
CN102169812A (zh) 2011-08-31
KR101605918B1 (ko) 2016-03-23
KR20110090755A (ko) 2011-08-10
US8927906B2 (en) 2015-01-06
TWI502622B (zh) 2015-10-01

Similar Documents

Publication Publication Date Title
JP5107372B2 (ja) 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体
JP4699283B2 (ja) 熱処理板の温度制御方法、プログラム及び熱処理板の温度制御装置
JP5065082B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5296022B2 (ja) 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
TWI505367B (zh) 熱處理方法及熱處理裝置
JP5174098B2 (ja) 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
JP2006228820A (ja) 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
TWI643246B (zh) Heat treatment device, abnormality detection method in heat treatment, and readable computer memory medium
JP2008270542A (ja) 熱処理板の温度設定方法、熱処理板の温度設定装置及びコンピュータ読み取り可能な記憶媒体
TWI746736B (zh) 光處理裝置、塗布顯影裝置、光處理方法及記錄媒體
TWI305932B (ja)
JP4970882B2 (ja) 基板の測定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び基板の測定システム
US7901149B2 (en) Substrate processing method, program, computer-readable recording medium, and substrate processing system
KR101072330B1 (ko) 기판 처리 장치, 기판 처리 방법, 기판 처리 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체
WO2007032369A1 (ja) 基板処理装置、基板処理方法、基板処理プログラム、及びそのプログラムを記録したコンピュータ読み取り可能な記録媒体
WO2006085527A1 (ja) 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP2006237262A (ja) 加熱処理装置
JP4397836B2 (ja) フォトリソグラフィー工程における処理条件の設定方法,フォトリソグラフィー工程における処理条件の設定装置,プログラム及びプログラムを読み取り可能な記録媒体
TWI738908B (zh) 曝光裝置、曝光方法及記憶媒體
TWI401547B (zh) A substrate processing method, and a substrate processing system
KR20180006710A (ko) 기판 처리 장치
JP5314461B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP4920317B2 (ja) 基板の処理方法、プログラム、コンピュータ読み取り可能な記録媒体及び基板の処理システム
JP5186264B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP2008159690A (ja) 基板の処理方法、基板の処理システム及びプログラムを記録したコンピュータ読み取り可能な記録媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120608

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120904

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121003

R150 Certificate of patent or registration of utility model

Ref document number: 5107372

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250