KR101004434B1 - 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법 - Google Patents

기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법 Download PDF

Info

Publication number
KR101004434B1
KR101004434B1 KR1020080118105A KR20080118105A KR101004434B1 KR 101004434 B1 KR101004434 B1 KR 101004434B1 KR 1020080118105 A KR1020080118105 A KR 1020080118105A KR 20080118105 A KR20080118105 A KR 20080118105A KR 101004434 B1 KR101004434 B1 KR 101004434B1
Authority
KR
South Korea
Prior art keywords
substrate
magnet member
vacuum
vacuum plate
polishing
Prior art date
Application number
KR1020080118105A
Other languages
English (en)
Other versions
KR20100059359A (ko
Inventor
이택엽
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020080118105A priority Critical patent/KR101004434B1/ko
Priority to US12/591,246 priority patent/US8382555B2/en
Priority to TW098139015A priority patent/TWI408773B/zh
Priority to JP2009268566A priority patent/JP5174791B2/ja
Priority to CN2009102241938A priority patent/CN101740450B/zh
Publication of KR20100059359A publication Critical patent/KR20100059359A/ko
Application granted granted Critical
Publication of KR101004434B1 publication Critical patent/KR101004434B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/06Work supports, e.g. adjustable steadies
    • B24B41/068Table-like supports for panels, sheets or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

본 발명은 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법을 개시한 것으로서, 기판 지지 유닛이 연마 공정 시에는 기판의 하면을 진공 흡착하고, 후-세정 공정 시에는 기판의 하면 세정을 위해 기판을 상향 이격된 상태로 지지하는 것을 특징으로 가진다.
이러한 특징에 의하면, 기판이 매엽 방식의 기판 지지 유닛에 지지된 상태에서 기판의 상면에 대한 연마 공정과 이에 따르는 기판의 상하면에 대한 후-세정 공정을 순차적으로 진행할 수 있는 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법을 제공할 수 있다.
Figure R1020080118105
기판, 연마, 세정, 매엽

Description

기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법{SUBSTRATE SUPPORTING UNIT, AND APPARATUS AND METHOD FOR POLISHING SUBSTRATE USING THE SAME}
본 발명은 반도체 제조 장치 및 방법에 관한 것으로서, 보다 상세하게는 반도체 기판을 매엽 처리 방식으로 지지하는 기판 지지 유닛과 이를 이용하여 기판을 연마 및 세정하는 기판 연마 장치에 관한 것이다.
일반적으로 반도체 소자의 제조 공정은 박막의 형성 및 적층을 위해 증착 공정, 사진 공정, 식각 공정 등 다수의 단위 공정들을 반복 수행해야만 한다. 웨이퍼 상에 요구되는 소정의 회로 패턴이 형성될 때까지 이들 공정은 반복되며, 회로 패턴이 형성된 후 웨이퍼의 표면에는 많은 굴곡이 생기게 된다. 최근 반도체 소자는 고집적화에 따라 그 구조가 다층화되며, 웨이퍼 표면의 굴곡의 수와 이들 사이의 단차가 증가하고 있다. 웨이퍼 표면의 비평탄화는 사진 공정에서 디포커스(Defocus) 등의 문제를 발생시키므로 웨이퍼의 표면을 평탄화하기 위해 주기적으로 웨이퍼 표면을 연마하여야 한다.
웨이퍼의 표면을 평탄화하기 위해 다양한 표면 평탄화 기술이 있으나 이 중 좁은 영역뿐만 아니라 넓은 영역의 평탄화에 있어서도 우수한 평탄도를 얻을 수 있는 화학적 기계적 연마(Chemical Mechanical Polishing : CMP) 장치가 주로 사용된다. 화학적 기계적 연마 장치는 텅스텐이나 산화물 등이 입혀진 웨이퍼의 표면을 기계적 마찰에 의해 연마시킴과 동시에 화학적 연마재에 의해 연마시키는 장치로서, 아주 미세한 연마를 가능하게 한다.
본 발명은 기판의 연마 공정과 후세정 공정을 매엽 방식으로 순차적으로 진행할 수 있는 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법을 제공하기 위한 것이다.
본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기한 과제를 달성하기 위하여 본 발명에 의한 기판 지지 유닛은 기판을 진공 흡착하는 진공 플레이트; 상기 진공 플레이트에 관통 형성된 홀들에 삽입 설치되며, 기판을 클램핑할 척 부재들; 및 상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 척 부재들을 상하 방향으로 이동시키는 구동 부재를 포함하는 것을 특징으로 한다.
상술한 바와 같은 구성을 가지는 본 발명에 의한 기판 지지 유닛에 있어서, 상기 구동 부재는 상기 척 부재들에 결합된 상부 자석 부재; 상기 상부 자석 부재의 아래에 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및 상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함할 수 있다.
상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함할 수 있다.
상기 상부 자석 부재는 상기 지지 핀들에 결합된 제 1 상부 자석 부재와, 상기 척킹 핀들에 결합된 제 2 상부 자석 부재를 포함할 수 있다.
상기 하부 자석 부재는 상기 제 1 상부 자석 부재의 아래에 배치되며 상기 제 1 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 1 하부 자석 부재; 및 상기 제 2 상부 자석 부재의 아래에 배치되며 상기 제 2 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 2 하부 자석 부재를 포함하고, 상기 직선 구동기는 상기 제 1 하부 자석 부재를 상하 방향으로 이동시키는 제 1 직선 구동기; 및 상기 제 2 하부 자석 부재를 상하 방향으로 이동시키는 제 2 직선 구동기를 포함할 수 있다.
상기 제 1 및 제 2 상부 자석 부재와 상기 제 1 및 제 2 하부 자석 부재는 링 형상으로 제공될 수 있다.
상기 진공 플레이트의 상면에는 다수의 진공 흡착 홀들이 형성되고, 상기 진공 플레이트의 내부에는 상기 진공 흡착 홀들을 연결하는 진공 라인이 형성되며, 상기 기판의 진공 흡착을 위해 상기 진공 라인에 음압을 제공하는 흡입 부재; 및 상기 기판이 상기 진공 플레이트로부터 상향 이격된 상태에서 상기 진공 흡착 홀들로의 이물질의 침투를 방지하도록 상기 진공 라인에 가스를 공급하는 가스 공급 부재를 더 포함할 수 있다.
상기 진공 플레이트를 회전시키는 중공 타입의 회전 구동기; 및 상기 회전 구동기의 중공 부분에 삽입 설치되며, 상기 진공 플레이트로부터 상향 이격된 상기 기판의 하면으로 처리액을 분사하는 백 노즐 어셈블리를 더 포함할 수 있다.
상기 백 노즐 어셈블리가 상기 진공 플레이트의 상면으로 돌출되도록 상기 백 노즐 어셈블리를 상하 방향으로 이동시키는 백 노즐 구동기를 더 포함할 수 있다.
상기한 과제를 달성하기 위하여 본 발명에 의한 기판 연마 장치는, 기판을 연마하는 장치에 있어서, 처리실; 상기 처리실 내에 설치되며, 기판을 지지하는 기판 지지 유닛; 상기 기판 지지 유닛에 지지된 상기 기판을 연마하는 연마 유닛; 및 상기 기판 지지 유닛에 지지된 상기 기판을 세정하는 세정 유닛을 포함하되, 상기 기판 지지 유닛은 기판을 진공 흡착하는 진공 플레이트; 상기 진공 플레이트에 관통 형성된 홀들에 삽입 설치되며, 기판을 클램핑할 척 부재들; 및 상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 척 부재들을 상하 방향으로 이동시키는 구동 부재를 포함하는 것을 특징으로 한다.
상술한 바와 같은 구성을 가지는 본 발명에 의한 기판 연마 장치에 있어서, 상기 구동 부재는 상기 척 부재들에 결합된 상부 자석 부재; 상기 상부 자석 부재의 아래에 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및 상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함할 수 있다.
상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함할 수 있다.
상기 상부 자석 부재는 상기 지지 핀들에 결합된 제 1 상부 자석 부재와, 상 기 척킹 핀들에 결합된 제 2 상부 자석 부재를 포함할 수 있다.
상기 하부 자석 부재는 상기 제 1 상부 자석 부재의 아래에 배치되며 상기 제 1 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 1 하부 자석 부재; 및 상기 제 2 상부 자석 부재의 아래에 배치되며 상기 제 2 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 2 하부 자석 부재를 포함하고, 상기 직선 구동기는 상기 제 1 하부 자석 부재를 상하 방향으로 이동시키는 제 1 직선 구동기; 및 상기 제 2 하부 자석 부재를 상하 방향으로 이동시키는 제 2 직선 구동기를 포함할 수 있다.
상기 세정 유닛은 상기 기판 지지 유닛의 일 측에 설치되며, 상기 기판의 상면으로 처리액을 공급하는 제 1 세정 유닛; 및 상기 진공 플레이트를 회전시키는 중공 타입 회전 구동기의 중공 부분에 삽입 설치되며, 상기 기판의 하면으로 처리액을 공급하는 제 2 세정 유닛을 포함할 수 있다.
상기 제 2 세정 유닛이 상기 진공 플레이트의 상면으로 돌출되도록 상기 제 2 세정 유닛을 상하 방향으로 이동시키는 구동 부재를 더 포함할 수 있다.
상기 기판의 세정 처리시 상기 진공 플레이트에 형성된 진공 흡착 홀들로 상기 처리액이 유입되는 것을 방지하도록 상기 진공 흡착 홀들로 가스를 공급하는 가스 공급 부재를 더 포함할 수 있다.
상기한 과제를 달성하기 위하여 본 발명에 의한 기판 연마 방법은, 제 10 항의 장치를 이용하여 기판을 연마하는 방법에 있어서, 상기 진공 플레이트에 상기 기판을 진공 흡착하여 기판의 상면을 연마하고, 상기 척 부재들을 승강시켜 상기 진공 플레이트에 놓인 상기 연마된 기판을 상향 이격된 상태로 지지하고, 상기 연마된 기판으로 세정액을 공급하여 상기 기판을 세정하는 것을 특징으로 한다.
상술한 바와 같은 특징을 가지는 본 발명에 의한 기판 연마 방법에 있어서, 상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들을 포함하며, 상기 지지 핀들의 승강시에는 상기 기판의 연마 공정시 보다 상기 진공 플레이트를 저속으로 회전시키거나 정지시킬 수 있다.
상기 척 부재들은 상기 기판의 측면을 지지하는 척킹 핀들을 더 포함하며, 상기 척킹 핀들을 승강시켜 상기 지지 핀들에 의해 지지된 상기 기판의 측면을 지지하고, 상기 진공 플레이트를 공정 속도로 가속시킬 수 있다.
상기 진공 플레이트로부터 상향 이격된 상기 연마된 기판의 상면과 하면에 상기 세정액을 공급하여 상기 기판의 상면과 하면을 동시에 세정 처리할 수 있다.
상기 기판의 하면 세정시, 상기 세정액을 분사하는 백 노즐 어셈블리가 상기 진공 플레이트의 상부로 돌출되도록 상기 백 노즐 어셈블리를 상하 방향으로 이동시킬 수 있다.
상기 기판의 세정 처리시, 상기 진공 플레이트의 진공 흡착 홀들로 상기 세정액이 유입되는 것을 방지하도록 상기 진공 흡착 홀들로 가스를 공급할 수 있다.
본 발명에 의하면, 기판이 매엽 방식의 기판 지지 유닛에 지지된 상태에서 기판의 상면에 대한 연마 공정과 이에 따르는 기판의 상하면에 대한 후세정 공정을 순차적으로 진행할 수 있다.
이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시 예에 따른 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법을 상세히 설명하기로 한다. 우선 각 도면의 구성 요소들에 참조 부호를 부가함에 있어서, 동일한 구성 요소들에 대해서는 비록 다른 도면상에 표시되더라도 가능한 한 동일한 부호를 가지도록 하고 있음에 유의해야 한다. 또한, 본 발명을 설명함에 있어, 관련된 공지 구성 또는 기능에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략한다.
( 실시 예 )
도 1은 본 발명에 따른 매엽식 기판 연마 장치(1)의 사시도이고, 도 2는 도 1의 처리 용기(100)와 기판 지지 유닛(200)의 측단면도이다.
본 발명에 따른 매엽식 기판 연마 장치(1)는 기판(W)의 상면에 대한 연마 공정과 이에 따르는 기판(W)의 상하면에 대한 후-세정 공정을 하나의 처리실(10) 내에서 순차적으로 진행할 수 있다.
도 1 및 도 2를 참조하면, 본 발명에 따른 매엽식 기판 연마 장치(1)는 처리 용기(100), 기판 지지 유닛(200), 세정 유닛(310,320,330), 연마 유닛(400), 그리고 패드 컨디셔닝 유닛(500)을 포함한다.
처리 용기(100)는 기판(W)을 처리하기 위한 공간을 제공한다. 처리 용기(100)의 내측에는 기판 지지 유닛(200)이 수용되고, 기판 지지 유닛(200)은 기 판(W)의 연마 공정과 후-세정 공정의 진행 중 처리 용기(100) 안으로 반입된 기판(W)을 고정한다.
처리 용기(100)의 일 측에는 기판의 상면을 세정하는 세정 유닛(310, 320)이 구비되고, 기판 지지 유닛(200)에는 기판의 하면을 세정하는 세정 유닛(330)이 구비된다. 세정 유닛(310,320,330)은 연마 유닛(400)에 의해 연마된 기판을 세정 처리한다. 세정 유닛(310)은 기판(W) 상면에 세정액을 공급하는 세정액 공급 부재이고, 세정 유닛(320)은 기판(W) 상면에 공급된 세정액에 초음파를 인가하여 세정 효율을 증대시키기 위한 초음파 세정 부재이며, 세정 유닛(330)은 기판(W) 하면에 세정액을 공급하는 세정액 공급 부재이다.
처리 용기(100)의 다른 일 측에는 연마 유닛(400)과 패드 컨디셔닝 유닛(500)이 구비된다. 연마 유닛(400)은 기판(W)의 상면을 화학적 기계적 방법으로 연마하고, 패드 컨디셔닝 유닛(500)은 연마 유닛(400)의 연마 패드(미도시)를 연마하여 연마 패드(미도시)의 표면 조도를 조절한다.
처리 용기(100)는 원통 형상을 갖는 제 1, 제 2 및 제 3 회수통(110, 120, 130)을 포함한다. 본 실시 예에 있어서, 처리 용기(100)는 세 개의 회수통(110, 120, 130)을 가지나, 회수통(110, 120, 130)의 개수는 증가하거나 감소할 수도 있다. 제 1 내지 제 3 회수통(110, 120, 130)은 기판(W) 처리 공정의 진행시 기판(W)으로 공급되는 세정액을 회수한다. 기판 처리 장치(1)는 기판(W)을 기판 지지 유닛(200)에 의해 회전시키면서 기판(W)을 세정 처리한다. 이 때문에, 기판(W)으로 공급된 세정액이 비산될 수 있으며, 제 1 내지 제 3 회수통(110, 120, 130)은 기판(W)으로부터 비산된 세정액을 회수한다.
제 1 내지 제 3 회수통(110, 120, 130)은 기판(W)으로부터 비산된 세정액이 유입되는 제 1 내지 제 3 회수 공간(S1, S2, S3)을 형성한다. 제 1 회수 공간(S1)은 제1 회수통(110)에 의해 정의되고, 기판(W)을 1차적으로 처리하는 제 1 세정액을 회수한다. 제 2 회수 공간(S2)은 제 1 회수통(110)과 제 2 회수통(120) 간의 이격 공간에 의해 정의되고, 기판(W)을 2차적으로 처리하는 제 2 세정액을 회수한다. 제 3 회수 공간(S3)은 제 2 회수통(120)과 제 3 회수통(130) 간의 이격 공간에 의해 정의되고, 기판(W)을 3차적으로 처리하는 제 3 세정액을 회수한다.
제 1 회수통(110)은 제 1 회수라인(141)과 연결된다. 제 1 회수 공간(S1)에 유입된 제 1 세정액은 제 1 회수 라인(141)을 통해 외부로 배출된다. 제 2 회수통(120)은 제 2 회수 라인(143)과 연결된다. 제 2 회수 공간(S2)에 유입된 제 2 세정액은 제 2 회수 라인(143)을 통해 외부로 배출된다. 제 3 회수통(130)은 제 3 회수 라인(145)과 연결된다. 제 3 회수 공간(S3)에 유입된 제 3 세정액은 제 3 회수 라인(145)을 통해 외부로 배출된다.
한편, 처리 용기(100)에는 처리 용기(100)의 수직 위치를 변경시키는 수직 이동부(150)가 결합될 수 있다. 수직 이동부(150)는 제 3 회수통(130)의 외 측벽에 구비되고, 기판 지지 유닛(200)의 수직 위치가 고정된 상태에서 처리 용기(100)를 상/하로 이동시킨다. 이에 따라, 처리 용기(100)와 기판(W) 간의 상대적인 수직 위치가 변경된다. 따라서, 처리 용기(100)는 각 회수 공간(S1, S2, S3) 별로 회수되 는 세정액의 종류를 다르게 할 수 있다.
도 3은 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 연마하는 공정을 보여주는 도면이고, 도 4는 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 세정하는 공정을 보여주는 도면이다.
도 2, 도 3 및 도 4를 참조하면, 기판 지지 유닛(200)은 진공 플레이트(210), 회전 축(220), 회전 구동부(230), 그리고 구동 부재(260)를 포함한다. 기판 지지 유닛(200)은 처리 용기(100)의 내측에 설치되며, 공정 진행 중 기판(W)을 지지하고 회전시킬 수 있다.
진공 플레이트(210)는, 도 3에 도시된 바와 같이, 기판의 연마 공정 진행시 기판을 지지한다. 회전 축(220)은 진공 플레이트(210)의 하부에 연결되며, 회전 축(220)은 그 하단에 연결된 회전 구동부(230)에 의해 회전한다. 회전 축(220)은 중공 축으로 마련될 수 있고, 회전 구동부(230)는 중공형의 모터로 마련될 수 있다.
도 5에 도시된 바와 같이, 진공 플레이트(210)의 상면에는 다수의 진공 흡착 홀들(211)이 형성되고, 진공 플레이트(210)의 내부에는 진공 흡착 홀들(211)을 연결하는 진공 라인(212)이 형성된다. 진공 라인(212)에는 흡입 부재(240)와 가스 공급 부재(250)가 연결될 수 있다. 흡입 부재(240)는 기판의 진공 흡착을 위해 진공 라인(212)에 음압을 제공한다. 가스 공급 부재(250)는 세정 공정의 진행을 위해 기판이 진공 플레이트(210)로부터 상향 이격된 상태에서, 진공 흡착 홀들(211)로 세 정액이 유입되는 것을 방지하기 위해 진공 라인(212)에 가스를 공급한다. 가스로는 질소 가스와 같은 불활성 가스가 사용될 수 있다.
진공 플레이트(210)의 가장자리 영역에는 다수의 홀들(213,214)이 관통 형성된다. 홀들(213,214)에는 기판(W)을 클램핑(Clamping)하는 척 부재들(215,216)이 삽입된다. 척 부재들(215,216)은 지지 핀들(215)과 척킹 핀들(216)을 포함한다. 지지 핀들(215)은 일정 배열로 배치되며, 기판의 하면을 지지한다. 척킹 핀들(216)은 지지 핀들(216)의 외 측에 배치되며, 기판의 측면을 지지한다.
구동 부재(260)는 척 부재들(215,216)을 상하 방향으로 이동시켜, 진공 플레이트(210)에 놓인 기판이 진공 플레이트(210)로부터 상향 이격된 상태로 지지되도록 한다.
구동 부재(260)는 상부 자석 부재(262a,262b), 하부 자석 부재(264a,264b), 그리고 직선 구동기(266a,266b)를 포함한다. 상부 자석 부재(262a,262b)는 지지 핀들(215)에 결합되는 제 1 상부 자석 부재(262a)와, 척킹 핀들(216)에 결합되는 제 2 상부 자석 부재(262b)를 갖는다. 하부 자석 부재(264a,264b)는 제 1 상부 자석 부재(262a)의 아래에 배치되는 제 1 하부 자석 부재(264a)와, 제 2 상부 자석 부재(262b)의 아래에 배치되는 제 2 하부 자석 부재(264b)를 갖는다. 제 1 상부 자석 부재(262a)와 제 1 하부 자석 부재(264a)는 상호 간에 자기적 반발력이 작용하도록 자극이 배열된 자석(263a,265a)을 가지며, 제 2 상부 자석 부재(262b)와 제 2 하부 자석 부재(264b)는 상호 간에 자기적 반발력이 작용하도록 자극이 배열된 자 석(263b,265b)을 갖는다. 제 1 및 제 2 상부 자석 부재(262a,262b)와 제 1 및 제 2 하부 자석 부재(264a,264b)는 회전 축(220)을 감싸는 링 형상으로 제공될 수 있다.
직선 구동기(266a,266b)는 제 1 하부 자석 부재(264a)를 상하 방향으로 이동시키는 제 1 직선 구동기(266a)와, 제 2 하부 자석 부재(264b)를 상하 방향으로 이동시키는 제 2 직선 구동기(266b)를 포함한다.
진공 플레이트(210), 회전 축(220) 및 회전 구동부(230)의 중공 영역에는 세정 유닛(330)이 삽입 설치된다. 세정 유닛(330)은 진공 플레이트(210)로부터 상향 이격된 기판(W)의 하면에 세정액을 공급하는 세정액 공급 부재이다. 세정 유닛(330)은 청구항에 따라서는 백 노즐 어셈블리라 한다.
세정 유닛(330)은 백 노즐 구동기(332)에 의해 상하 방향으로 직선 이동할 수 있다. 세정 유닛(330)은 기판 하면의 세정시에는, 백 노즐 구동기(332)에 의해 상측으로 이동하여 진공 플레이트(210)의 상면으로 돌출될 수 있으며, 기판 상면의 연마시에는, 백 노즐 구동기(332)에 의해 하측으로 이동하여 진공 플레이트(210) 내로 후퇴할 수 있다.
구동 부재(260)는 도 3에 도시된 바와 같이 기판의 연마 공정 시에는 척 부재(215,216)를 하강시켜 진공 플레이트(210)가 기판을 지지하도록 하고, 도 4에 도시된 바와 같이 기판의 후-세정 공정 시에는 척 부재(215,216)를 승강시켜 기판을 상향 이격시킨 상태로 지지한다.
이송 로봇(미도시)에 의해 진공 플레이트(210)의 상부 영역으로 기판이 로딩되면, 제 1 직선 구동기(266a)는 제 1 하부 자석 부재(264a)을 승강시킨다. 제 1 하부 자석 부재(264a)는 자기적 반발력이 작용하는 제 1 상부 자석 부재(262a)를 승강시키고, 이에 의해 지지 핀들(215)이 승강하여 로딩된 기판을 인계한다. 지지 핀들(215)에 기판이 인계되면, 제 1 직선 구동기(266a)는 제 1 하부 자석 부재(264a)을 하강시키고, 이에 따라 제 1 상부 자석 부재(262a)와, 지지 핀들(215)이 하강하여 기판이 진공 플레이트(210)상에 놓인다.
기판이 진공 플레이트(210)상에 놓이면, 흡입 부재(240)는 진공 라인(212)에 음압을 작용시켜, 진공 흡착 홀들(211)을 통해 기판과 진공 플레이트(210) 사이의 공기를 흡입한다. 이러한 작용에 의해 기판이 진공 플레이트(210)에 진공 흡착된다. 이후 후술할 연마 헤드(420)를 기판의 상부로 이동시키고, 연마 헤드(420)에 장착된 연마 패드(423)를 이용하여 기판의 연마 공정을 진행한다.
연마 공정이 완료된 후, 기판의 상하면에 대해 후-세정 공정이 진행된다. 제 1 직선 구동기(266a)는 제 1 하부 자석 부재(264a)을 승강시킨다. 제 1 하부 자석 부재(264a)는 자기적 반발력이 작용하는 제 1 상부 자석 부재(262a)를 승강시키고, 이에 의해 지지 핀들(215)이 승강하여 기판을 진공 플레이트(210)로부터 상향 이격시킨다. 이때, 진공 플레이트(210)는 기판의 연마 공정시 보다 저속으로 회전되거나 정지될 수 있다.
이후, 제 2 직선 구동기(266b)는 제 2 하부 자석 부재(264b)을 승강시킨다. 제 2 하부 자석 부재(264b)는 자기적 반발력이 작용하는 제 2 상부 자석 부 재(262b)를 승강시키고, 이에 의해 척킹 핀들(216)이 승강하여 기판의 측면을 지지한다. 기판의 측면이 척킹 핀들(216)에 의해 지지되면, 진공 플레이트(210)는 세정 공정을 위한 공정 속도로 가속될 수 있다.
이 상태에서 세정 유닛(310)이 기판(W)의 상면에 세정액을 공급하고, 세정 유닛(320)이 기판(W)의 상면에 공급된 세정액에 초음파를 인가하여 기판의 상면을 세정한다. 또한, 이와 동시에 세정 유닛(330)이 기판의 하면에 세정액을 공급하여 기판의 하면을 세정한다. 기판의 세정 처리시, 가스 공급 부재(250)는 진공 플레이트(210)의 진공 흡착 홀들(211)로 세정액이 유입되는 것을 방지하도록 진공 흡착 홀들(211)로 가스를 공급할 수 있다. 그리고, 기판의 하면 세정시, 세정 유닛(330, 백 노즐 어셈블리라도고 함.)은 진공 플레이트(210)의 상부로 돌출되도록 이동된 상태에서 세정액을 분사할 수 있다.
다음으로, 상기와 같은 구성을 가지는 기판 지지 유닛(200)에 고정된 기판을 연마하는 연마 유닛(400)에 대해 설명한다.
도 6은 도 1의 연마 유닛의 사시도이고, 도 7은 도 6의 연마 유닛의 측단면도이며, 도 8은 도 7의 연마 헤드를 확대하여 보여주는 도면이다.
연마 유닛(400)은 화학적 기계적 방법으로 기판 표면을 평탄화하는 연마 공정을 진행한다. 도 6 내지 도 8을 참조하면, 연마 유닛(400)은 연마 헤드(420)와, 연마 헤드(420)를 동작 모드에 따라 구동시키기 위한 제 1 , 제 2 및 제 3 구동 부재(440,460,480)를 포함한다. 연마 헤드(420)에는 기판을 연마하는 연마 패드(423) 가 장착된다. 제 1 구동 부재(440)는 연마 공정의 진행시 연마 패드(423)를 자기 중심 축을 기준으로 회전시킨다. 제 2 구동 부재(460)는 연마 헤드(420)를 스윙 동작시키기 위해 연마 헤드(420)를 수평면상에서 이동시킨다. 제 3 구동 부재(480)는 연마 헤드(420)를 상하 방향으로 이동시킨다.
연마 헤드(420)는 하부가 개방된 원통 형상의 하우징(421)을 가진다. 하우징(421)의 개방된 하부에는 판 형상의 연마 패드 홀더(422)가 설치되며, 연마 패드 홀더(422)의 하면에는 연마 패드(423)가 결합된다. 연마 패드(423)는 금속 재질의 플레이트(424)의 일면에 부착될 수 있으며, 연마 패드 홀더(422)에는 금속 플레이트(424)의 다른 일 면이 연마 패드 홀더(422)에 탈착 가능하게 결합되도록 금속 플레이트(424)에 자력을 작용시키는 자석 부재(422a)가 내장될 수 있다.
연마 패드 홀더(422)의 상부 면에는 벨로우즈(425)가 설치되고, 벨로우즈(425)는 공압 부재(426)에 의해 작용되는 공기 압력에 의해 상하 방향으로 신축될 수 있다. 벨로우즈(425)는 연마 공정의 진행시 연마 패드(423)가 기판(W)에 밀착되도록 신장될 수 있으며, 연마 패드(423)가 기판(W)에 밀착된 상태에서 연마 공정이 진행되면 연마 공정이 균일하게 그리고 보다 효율적으로 진행될 수 있다.
공압 부재(426)는 벨로우즈(425)의 상부에 연결되며, 속이 빈 중공 축 형상의 축 부재로 구비될 수 있다. 공압 부재(426)는 길이 방향이 연직 방향을 향하도록 제공될 수 있으며, 베어링(427a,427b)에 의해 회전 가능하게 지지된다. 공압 부재(426)에는 공기를 공급하는 에어 라인(미도시)이 연결되고, 에어 라인(미도시) 상에는 에어 라인(미도시)을 개폐하는 밸브(미도시)와, 공기의 공급 유량을 조절하 는 유량계(미도시)가 설치될 수 있으며, 이들의 구성은 관련 기술 분야의 당업자에게 자명한 사항이므로 이에 대한 상세한 설명은 생략한다.
제 1 구동 부재(440)는 연마 공정의 진행시 연마 패드(423)를 자기 중심 축을 기준으로 회전시킨다. 제 1 구동 부재(440)는 회전력을 제공하는 제 1 구동 모터(441)와, 제 1 구동 모터(441)의 회전력을 연마 패드(423)로 전달하는 제 1 벨트-풀리 어셈블리(443)를 포함한다. 제 1 벨트-풀리 어셈블리(443)는 제 1 구동 풀리(443-1), 제 1 종동 풀리(443-2) 및 제 1 벨트(443-3)의 조합으로 이루어질 수 있다. 제 1 구동 풀리(443-1)는 제 1 구동 모터(411)의 회전 축(411a)에 설치된다. 제 1 종동 풀리(443-2)는 중공 축 형상의 공압 부재(426)의 외 측면에 설치된다. 제 1 벨트(443-3)는 제 1 구동 풀리(443-1)와 제 1 종동 풀리(443-2)에 감긴다. 여기서, 제 1 구동 풀리(443-1)가 설치된 제 1 구동 모터(441)는 후술할 제 2 구동 부재(460)의 스윙 암(461)의 일단 내부에 설치되고, 제 1 벨트(443-3)는 스윙 암(461)의 길이 방향을 따라 스윙 암(461)의 내부를 통해 제 1 구동 풀리(443-1)와 제 1 종동 풀리(443-2)에 감길 수 있다.
제 1 구동 모터(441)의 회전력은 벨트-풀리 어셈블리(443)에 의해 공압 부재(426)로 전달되고, 공압 부재(426)가 회전함에 따라 공압 부재(426)의 아래에 순차적으로 결합되어 있는 벨로우즈(425), 연마 패드 홀더(422) 및 연마 패드(423)가 회전된다. 이때, 제 1 구동 부재(440)의 제 1 구동 모터(441)는 선택적으로 시계 방향의 회전력 또는 반시계 방향의 회전력을 제공할 수 있으며, 이에 따라 도 9a 및 도 9b에 도시된 바와 같이 연마 패드(423)가 시계 방향 또는 반시계 방향으로 회전할 수 있다. 이와 같이 연마 패드(423)의 회전 방향을 시계 방향 또는 반시계 방향으로 가변시킬 수 있게 됨으로써, 연마 패드(423)를 기판(W)의 회전 방향과 동일한 방향 또는 기판(W)의 회전 방향에 반대 방향으로 회전시키면서 선택적으로 연마 공정을 진행할 수 있다.
제 2 구동 부재(460)는 연마 헤드(420)를 기판상에서 스윙 동작시키기 위해 연마 헤드(420)를 수평면상에서 이동시킨다. 제 2 구동 부재(460)는 스윙 암(461), 수직 암(462), 제 2 구동 모터(463), 그리고 제 2 벨트-풀리 어셈블리(464)를 포함한다. 스윙 암(461)은 연마 헤드(420)의 하우징(421) 일 측에 수평 방향으로 결합되고, 수직 암(462)은 스윙 암(461)의 타단에 수직하게 아래 방향으로 결합된다. 제 2 구동 모터(463)는 제 2 벨트-풀리 어셈블리(464)를 통해 수직 암(462)에 회전력을 제공한다. 제 2 벨트-풀리 어셈블리(464)는 제 2 구동 풀리(464-1), 제 2 종동 풀리(464-2) 및 제 2 벨트(464-3)의 조합으로 이루어질 수 있다. 제 1 구동 풀리(464-1)는 제 2 구동 모터(463)의 회전 축에 설치된다. 제 2 종동 풀리(464-2)는 수직 암(462)의 외 측면에 설치된다. 제 2 벨트(464-3)는 제 2 구동 풀리(464-1)와 제 2 종동 풀리(464-2)에 감긴다.
제 2 구동 모터(463)의 회전력은 제 2 벨트-풀리 어셈블리(464)에 의해 수직 암(462)으로 전달되고, 수직 암(462)이 자기 중심축을 기준으로 회전함에 따라 스윙 암(461)이 수직 암(462)을 중심으로 스윙 동작한다. 이에 따라 연마 패드(423)가 장착된 연마 헤드(420)가 원형의 곡선 궤적을 따라 이동한다.
제 3 구동 부재(480)는 연마 헤드(420)를 상하 방향으로 이동시킨다. 제 3 구동 부재(480)는 지지 블록(482), 가이드 부재(484), 그리고 직선 구동기(486)를 포함한다. 지지 블록(482)은 수직 암(462)을 지지하며, 수직 암(462)은 베어링(482a,482b)에 의해 회전 가능하게 지지된다. 직선 구동기(486)는 지지 블록(482)을 상하 방향으로 직선 이동시키기 위한 구동력을 제공하며, 직선 구동기(486)로는 실린더 부재 또는 리니어 모터와 같은 직선 구동 부재가 사용될 수 있다. 가이드 부재(484)는 지지 블록(482)의 직선 이동을 안내한다.
직선 구동기(486)의 직선 구동력은 지지 블록(482)에 전달되고, 지지 블록(482)에 지지된 수직 암(462)이 지지 블록(482)과 함께 상하 방향으로 이동함에 따라 연마 패드(423)가 장착된 연마 헤드(420)가 상하 방향으로 이동한다.
연마 패드(423)를 이용하여 기판의 연마 공정을 반복적으로 진행하는 경우, 주기적으로 연마 패드(423)의 표면을 연마하여 연마 패드(423)의 표면 조도를 조절하여야 한다. 이를 위해, 도 1에 도시된 바와 같이, 처리실(10) 내의 연마 유닛(400)에 인접한 위치에 패드 컨디셔닝 유닛(500)이 구비된다.
도 10은 도 1의 패드 컨디셔닝 유닛의 사시도이고, 도 11은 도 10의 패드 컨디셔닝 유닛의 측단면도이다. 그리고 도 12 및 13은 패드 컨디셔닝 유닛의 동작 상태를 보여주는 도면들이다.
도 10 내지 도 13을 참조하면, 패드 컨디셔닝 유닛(500)은 연마 패드(423)가 장착된 연마 헤드(420)의 단부가 수용되는 상부가 개방된 통 형상의 처리조(510)를 가진다. 처리조(510)는 바닥 벽(512)과, 바닥 벽(512)의 가장자리로부터 상측으로 연장된 측벽(514)을 가지며, 바닥 벽(512)의 하부에는 지지 프레임(516)이 제공된다. 처리조(510)의 바닥 벽(512)은 제 1 높이에 위치한 제 1 바닥 벽(512a)과, 제 1 바닥 벽(512a)보다 낮은 제 2 높이로 단차진 제 2 바닥 벽(512b)으로 이루어질 수 있다.
처리조(510)의 제 1 바닥 벽(512a)에는 다이아몬드 컨디셔너(520)가 설치된다. 다이아몬드 컨디셔너(520)는 연마 패드(423)와 접촉하여 연마 패드(423)의 표면을 연마하기 위한 것으로, 환형 또는 원형의 다이아몬드 컨디셔너(520)가 제공될 수 있다. 그리고, 다이아몬드 컨디셔너(520)는 처리조(510)의 제 1 바닥 벽(512a)에 대응하는 크기를 가질 수 있으며, 또한 처리조(510)의 제 1 바닥 벽(512a)의 크기보다 작은 크기로 복수 개가 제공될 수도 있다.
그리고, 처리조(510)에는 연마 패드(423)의 연마 진행 중 생성된 이물질을 제거하기 위해 처리조(510)의 제 1 바닥벽(512a)으로 탈이온수를 공급하기 위한 탈이온수 공급 부재(530,540)가 설치된다. 제 1 탈이온수 공급 부재(530)는 제 1 바닥 벽(512a)을 통해 처리조(510) 내로 탈이온수를 공급하도록 제 1 바닥 벽(512a)에 연결되며, 제 2 탈이온수 공급 부재(540)는 제 1 바닥 벽(512a)의 상측에서 제 1 바닥 벽(512a)을 향해 탈이온수를 공급하도록 처리조(510)의 일 측에 설치된다. 제 1 및 제 2 탈이온수 공급 부재(530,540)로부터 처리조(510)로 공급된 탈이온수는 제 1 바닥 벽(512a)을 타고 흐르면서 이물질을 제거하고, 이후 제 1 바닥 벽(512a) 보다 낮은 높이로 단차진 제 2 바닥 벽(512b)으로 이물질이 혼입된 탈이온수가 유입된다. 제 2 바닥 벽(512b)으로 유입된 탈이온수는 제 2 바닥 벽(512b)에 연결된 배수 부재(550)를 통해 외부로 배출된다.
연마 패드(423)의 연마 공정은, 도 12에 도시된 바와 같이, 연마 헤드(420)가 처리조(510)에 수용된 상태에서 진행된다. 이때, 제 3 구동 부재(도 6의 도면 참조 번호 480)는 처리조(510)에 수용된 연마 헤드(420)를 상하 방향으로 이동시켜 연마 패드(423)를 다이아몬드 컨디셔너(520)에 접촉시킨다. 이 상태에서, 도 13에 도시된 바와 같이, 제 1 구동 부재(도 6의 도면 참조 번호 440)는 연마 패드(423)를 회전시키고, 제 2 구동 부재(도 6의 도면 참조 번호 460)는 연마 헤드(420)를 수평면상에서 이동시켜 다이아몬드 컨디셔너(520) 상에서 연마 패드(423)를 스캐닝시킨다. 이때, 제 1 및 제 2 탈이온수 공급 부재(530,540)는 처리조(510) 내로 탈이온수를 공급하고, 탈이온수는 연마 패드(423)의 연마 중 발생하는 이물질을 제거한 후 배수 부재(550)를 통해 외부로 배출된다.
이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범 위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.
이하에 설명된 도면들은 단지 예시의 목적을 위한 것이고, 본 발명의 범위를 제한하기 위한 것이 아니다.
도 1은 본 발명에 따른 매엽식 기판 연마 장치의 사시도이다.
도 2는 도 1의 처리 용기와 기판 지지 유닛의 측단면도이다.
도 3은 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 연마하는 공정을 보여주는 도면이다.
도 4는 본 발명의 일 실시 예에 따른 기판 지지 유닛을 이용하여 기판을 세정하는 공정을 보여주는 도면이다.
도 5는 도 3의 진공 플레이트를 확대하여 보여주는 도면이다.
도 6은 도 1의 연마 유닛의 사시도이다.
도 7은 도 6의 연마 유닛의 측단면도이다.
도 8은 도 7의 연마 헤드를 확대하여 보여주는 도면이다.
도 9a 및 도 9b는 연마 패드를 이용한 연마 공정의 예들을 보여주는 도면이다.
도 10은 도 1의 패드 컨디셔닝 유닛의 사시도이다.
도 11은 도 10의 패드 컨디셔닝 유닛의 측단면도이다.
도 12는 패드 컨디셔닝 유닛의 동작 상태를 보여주는 단면도이다.
도 13은 패드 컨디셔닝 유닛의 동작 상태를 보여주는 평면도이다.
< 도면의 주요 부분에 대한 부호의 설명 >
100 : 처리 용기 200 : 기판 지지 유닛
210 : 진공 플레이트 211 : 진공 흡착 홀
215 : 지지 핀 216 : 척킹 핀
250 : 가스 공급 부재 260 : 구동 부재
262a, 262b : 상부 자석 부재 264a,264b : 하부 자석 부재
266a,266b : 직선 구동기 310,320,330 : 세정 유닛
400 : 연마 유닛 500 : 패드 컨디셔닝 유닛

Claims (23)

  1. 삭제
  2. 기판을 진공 흡착하는 진공 플레이트;
    상기 진공 플레이트에 관통 형성된 홀들에 삽입 설치되며, 기판을 클램핑할 척 부재들; 및
    상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 척 부재들을 상하 방향으로 이동시키는 구동 부재를 포함하되,
    상기 구동 부재는,
    상기 척 부재들에 결합된 상부 자석 부재;
    상기 상부 자석 부재의 아래에 마주보도록 배치되며, 상기 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및
    상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함하는 것을 특징으로 하는 기판 지지 유닛.
  3. 제 2 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함하는 것을 특징으로 하는 기판 지지 유닛.
  4. 제 3 항에 있어서,
    상기 상부 자석 부재는 상기 지지 핀들에 결합된 제 1 상부 자석 부재와, 상기 척킹 핀들에 결합된 제 2 상부 자석 부재를 포함하는 것을 특징으로 하는 기판 지지 유닛.
  5. 제 4 항에 있어서,
    상기 하부 자석 부재는,
    상기 제 1 상부 자석 부재의 아래에 배치되며 상기 제 1 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 1 하부 자석 부재; 및
    상기 제 2 상부 자석 부재의 아래에 배치되며 상기 제 2 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 2 하부 자석 부재를 포함하고,
    상기 직선 구동기는,
    상기 제 1 하부 자석 부재를 상하 방향으로 이동시키는 제 1 직선 구동기; 및
    상기 제 2 하부 자석 부재를 상하 방향으로 이동시키는 제 2 직선 구동기를 포함하는 것을 특징으로 하는 기판 지지 유닛.
  6. 제 5 항에 있어서,
    상기 제 1 및 제 2 상부 자석 부재와 상기 제 1 및 제 2 하부 자석 부재는 링 형상으로 제공되는 것을 특징으로 하는 기판 지지 유닛.
  7. 제 2 항에 있어서,
    상기 진공 플레이트의 상면에는 다수의 진공 흡착 홀들이 형성되고, 상기 진공 플레이트의 내부에는 상기 진공 흡착 홀들을 연결하는 진공 라인이 형성되며,
    상기 기판의 진공 흡착을 위해 상기 진공 라인에 음압을 제공하는 흡입 부재; 및
    상기 기판이 상기 진공 플레이트로부터 상향 이격된 상태에서 상기 진공 흡착 홀들로의 이물질의 침투를 방지하도록 상기 진공 라인에 가스를 공급하는 가스 공급 부재를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  8. 제 2 항에 있어서,
    상기 진공 플레이트를 회전시키는 중공 타입의 회전 구동기; 및
    상기 회전 구동기의 중공 부분에 삽입 설치되며, 상기 진공 플레이트로부터 상향 이격된 상기 기판의 하면으로 세정액을 분사하는 백 노즐 어셈블리를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  9. 제 8 항에 있어서,
    상기 백 노즐 어셈블리가 상기 진공 플레이트의 상면으로 돌출되도록 상기 백 노즐 어셈블리를 상하 방향으로 이동시키는 백 노즐 구동기를 더 포함하는 것을 특징으로 하는 기판 지지 유닛.
  10. 기판을 연마하는 장치에 있어서,
    처리실;
    상기 처리실 내에 설치되며, 기판을 지지하는 기판 지지 유닛;
    상기 기판 지지 유닛에 지지된 상기 기판을 연마하는 연마 유닛; 및
    상기 기판 지지 유닛에 지지된 상기 기판을 세정하는 세정 유닛을 포함하되,
    상기 기판 지지 유닛은,
    기판을 진공 흡착하는 진공 플레이트;
    상기 진공 플레이트에 관통 형성된 홀들에 삽입 설치되며, 기판을 클램핑할 척 부재들; 및
    상기 진공 플레이트에 놓인 상기 기판을 상기 진공 플레이트로부터 상향 이격된 상태로 지지하도록 상기 척 부재들을 상하 방향으로 이동시키는 구동 부재를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  11. 제 10 항에 있어서,
    상기 구동 부재는,
    상기 척 부재들에 결합된 상부 자석 부재;
    상기 상부 자석 부재의 아래에 마주보도록 배치되며, 상기 상부 자석 부재와 의 사이에 자기적 반발력이 작용하도록 자극이 배열된 하부 자석 부재; 및
    상기 하부 자석 부재를 상하 방향으로 이동시키는 직선 구동기를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  12. 제 11 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들과 상기 기판의 측면을 지지하는 척킹 핀들을 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  13. 제 12 항에 있어서,
    상기 상부 자석 부재는 상기 지지 핀들에 결합된 제 1 상부 자석 부재와, 상기 척킹 핀들에 결합된 제 2 상부 자석 부재를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  14. 제 13 항에 있어서,
    상기 하부 자석 부재는,
    상기 제 1 상부 자석 부재의 아래에 배치되며 상기 제 1 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 1 하부 자석 부재; 및
    상기 제 2 상부 자석 부재의 아래에 배치되며 상기 제 2 상부 자석 부재와의 사이에 자기적 반발력이 작용하도록 자극이 배열된 제 2 하부 자석 부재를 포함하고,
    상기 직선 구동기는,
    상기 제 1 하부 자석 부재를 상하 방향으로 이동시키는 제 1 직선 구동기; 및
    상기 제 2 하부 자석 부재를 상하 방향으로 이동시키는 제 2 직선 구동기를 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  15. 제 10 항에 있어서,
    상기 세정 유닛은,
    상기 기판 지지 유닛의 일 측에 설치되며, 상기 기판의 상면으로 세정액을 공급하는 제 1 세정 유닛; 및
    상기 진공 플레이트를 회전시키는 중공 타입 회전 구동기의 중공 부분에 삽입 설치되며, 상기 기판의 하면으로 세정액을 공급하는 제 2 세정 유닛을 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  16. 제 15 항에 있어서,
    상기 제 2 세정 유닛이 상기 진공 플레이트의 상면으로 돌출되도록 상기 제 2 세정 유닛을 상하 방향으로 이동시키는 구동 부재를 더 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  17. 제 15 항에 있어서,
    상기 기판의 세정 처리시 상기 진공 플레이트에 형성된 진공 흡착 홀들로 상기 세정액이 유입되는 것을 방지하도록 상기 진공 흡착 홀들로 가스를 공급하는 가스 공급 부재를 더 포함하는 것을 특징으로 하는 매엽식 기판 연마 장치.
  18. 제 10 항의 장치를 이용하여 기판을 연마하는 방법에 있어서,
    상기 진공 플레이트에 상기 기판을 진공 흡착하여 기판의 상면을 연마하고,
    상기 척 부재들을 승강시켜 상기 진공 플레이트에 놓인 상기 연마된 기판을 상향 이격된 상태로 지지하고,
    상기 연마된 기판으로 세정액을 공급하여 상기 기판을 세정하는 것을 특징으로 하는 기판 연마 방법.
  19. 제 18 항에 있어서,
    상기 척 부재들은 상기 기판의 하면을 지지하는 지지 핀들을 포함하며,
    상기 지지 핀들의 승강시에는 상기 기판의 연마 공정시 보다 상기 진공 플레이트를 저속으로 회전시키거나 정지시키는 것을 특징으로 하는 기판 연마 방법.
  20. 상기 제 19 항에 있어서,
    상기 척 부재들은 상기 기판의 측면을 지지하는 척킹 핀들을 더 포함하며,
    상기 척킹 핀들을 승강시켜 상기 지지 핀들에 의해 지지된 상기 기판의 측면을 지지하고,
    상기 진공 플레이트를 공정 속도로 가속시키는 것을 특징으로 하는 기판 연마 방법.
  21. 제 18 항에 있어서,
    상기 진공 플레이트로부터 상향 이격된 상기 연마된 기판의 상면과 하면에 상기 세정액을 공급하여 상기 기판의 상면과 하면을 동시에 세정 처리하는 것을 특징으로 하는 기판 연마 방법.
  22. 제 21 항에 있어서,
    상기 기판의 하면 세정시, 상기 세정액을 분사하는 백 노즐 어셈블리가 상기 진공 플레이트의 상부로 돌출되도록 상기 백 노즐 어셈블리를 상하 방향으로 이동시키는 것을 특징으로 하는 기판 연마 방법.
  23. 제 21 항에 있어서,
    상기 기판의 세정 처리시, 상기 진공 플레이트의 진공 흡착 홀들로 상기 세정액이 유입되는 것을 방지하도록 상기 진공 흡착 홀들로 가스를 공급하는 것을 특징으로 하는 기판 연마 방법.
KR1020080118105A 2008-11-26 2008-11-26 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법 KR101004434B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020080118105A KR101004434B1 (ko) 2008-11-26 2008-11-26 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
US12/591,246 US8382555B2 (en) 2008-11-26 2009-11-13 Substrate supporting unit, and apparatus and method for polishing substrate using the same
TW098139015A TWI408773B (zh) 2008-11-26 2009-11-17 基板支撐單元以及利用其研磨基板之裝置與方法
JP2009268566A JP5174791B2 (ja) 2008-11-26 2009-11-26 基板支持ユニットと、それを使用する基板研磨装置及び方法
CN2009102241938A CN101740450B (zh) 2008-11-26 2009-11-26 基板支撑单元、使用该单元抛光基板的装置及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080118105A KR101004434B1 (ko) 2008-11-26 2008-11-26 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020100101863A Division KR101226951B1 (ko) 2010-10-19 2010-10-19 기판 지지 유닛

Publications (2)

Publication Number Publication Date
KR20100059359A KR20100059359A (ko) 2010-06-04
KR101004434B1 true KR101004434B1 (ko) 2010-12-28

Family

ID=42196757

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080118105A KR101004434B1 (ko) 2008-11-26 2008-11-26 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법

Country Status (5)

Country Link
US (1) US8382555B2 (ko)
JP (1) JP5174791B2 (ko)
KR (1) KR101004434B1 (ko)
CN (1) CN101740450B (ko)
TW (1) TWI408773B (ko)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101036605B1 (ko) * 2008-06-30 2011-05-24 세메스 주식회사 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치
JP4783404B2 (ja) * 2008-07-02 2011-09-28 光洋機械工業株式会社 ワーク装着装置
JP4783405B2 (ja) * 2008-07-02 2011-09-28 光洋機械工業株式会社 傾斜角調整装置及びワーク装着装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5392483B2 (ja) * 2009-08-31 2014-01-22 不二越機械工業株式会社 研磨装置
US9138857B2 (en) 2010-08-05 2015-09-22 Hwatsing Technology Co., Ltd. Chemical mechanical polishing machine and chemical mechanical polishing apparatus comprising the same
CN102049730B (zh) * 2010-12-29 2012-02-15 清华大学 一种用于化学机械抛光设备的晶圆交换装置
SG185838A1 (en) * 2011-05-12 2012-12-28 Semiconductor Technologies And Instr Pte Ltd A component pane handler configured to handle component panes of multiple sizes
IL218981A (en) * 2011-05-12 2015-10-29 Semiconductor Tech & Instr Inc System and method for using multi-component windshield holders in the appropriate configuration to handle and move windshield components
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5926501B2 (ja) * 2011-06-15 2016-05-25 東京応化工業株式会社 保持装置および保持方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US9385020B2 (en) * 2011-12-19 2016-07-05 SCREEN Holdings Co., Ltd. Substrate holding and rotating device, substrate treatment apparatus including the device, and substrate treatment method
KR101281664B1 (ko) * 2011-12-29 2013-07-03 엘아이지에이디피 주식회사 리프트 핀을 구비하는 기판 처리장치
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI620240B (zh) 2013-01-31 2018-04-01 應用材料股份有限公司 用於化學機械平坦化後的基板清潔之方法及設備
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10236194B2 (en) * 2013-04-30 2019-03-19 Semes Co., Ltd. Supporting unit and substrate treatment apparatus
CN103252712B (zh) * 2013-05-08 2015-07-08 浙江工业大学 晶片研磨磁加载夹持装置
JP5904169B2 (ja) 2013-07-23 2016-04-13 東京エレクトロン株式会社 基板洗浄装置、基板洗浄方法及び記憶媒体
CN104347466A (zh) * 2013-07-31 2015-02-11 盛美半导体设备(上海)有限公司 晶圆承载装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015061741A1 (en) * 2013-10-25 2015-04-30 Applied Materials, Inc Systems, methods and apparatus for post-chemical mechanical planarization substrate buff pre-cleaning
KR101535726B1 (ko) * 2013-11-25 2015-07-09 세메스 주식회사 디스플레이 셀들을 검사하기 위한 장치
CN103743239B (zh) * 2013-12-27 2015-05-20 深圳市华星光电技术有限公司 石英卡夹装置及其制作方法与带该石英卡夹装置的oled高温炉
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6394337B2 (ja) * 2014-12-04 2018-09-26 株式会社Sumco 吸着チャック、面取り研磨装置、及び、シリコンウェーハの面取り研磨方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017086339A1 (ja) * 2015-11-16 2017-05-26 株式会社タカトリ ワイヤソー装置並びに被加工物の加工方法及び加工装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105478391A (zh) * 2015-12-31 2016-04-13 上海新阳半导体材料股份有限公司 晶圆清洁设备
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6792363B2 (ja) * 2016-07-22 2020-11-25 株式会社ディスコ 研削装置
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN109343248A (zh) * 2018-12-06 2019-02-15 深圳市华星光电半导体显示技术有限公司 真空贴合装置及其脱离显示面板的方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR102240924B1 (ko) * 2019-07-18 2021-04-14 세메스 주식회사 기판 처리 장치 및 회전 어셈블리
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112845294B (zh) * 2020-12-30 2022-06-24 上海至纯洁净系统科技股份有限公司 一种喷射模组和清洗剂回收系统
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113352164B (zh) * 2021-08-11 2021-11-16 徐州浩大新能源有限公司 一种方便调节的平面磨床用辅助夹持固定组件
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230053957A (ko) * 2021-10-15 2023-04-24 세메스 주식회사 기판 승강 장치 및 기판 처리 장치
KR102643674B1 (ko) * 2022-04-28 2024-03-05 인세미텍 주식회사 그라인딩용 척 테이블 구조체 및 이를 포함하는 웨이퍼 그라인딩 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100862703B1 (ko) * 2007-01-31 2008-10-10 세메스 주식회사 지지부재 및 상기 지지부재를 구비하는 기판 처리 장치 및방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5429147B2 (ko) 1974-09-20 1979-09-21
JP3153372B2 (ja) 1992-02-26 2001-04-09 東京エレクトロン株式会社 基板処理装置
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
JP3894514B2 (ja) 1997-04-04 2007-03-22 株式会社ディスコ 研磨装置
JPH1140492A (ja) 1997-07-18 1999-02-12 Dainippon Screen Mfg Co Ltd 基板処理装置
US6146504A (en) 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JP2000208591A (ja) 1999-01-08 2000-07-28 Sony Corp 回転式基板処理装置
US6164633A (en) * 1999-05-18 2000-12-26 International Business Machines Corporation Multiple size wafer vacuum chuck
JP2002353181A (ja) 2001-05-30 2002-12-06 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
KR20030053980A (ko) 2001-12-24 2003-07-02 동부전자 주식회사 반도체 웨이퍼 연마 방법 및 장치
US7018268B2 (en) * 2002-04-09 2006-03-28 Strasbaugh Protection of work piece during surface processing
JP2003332410A (ja) 2002-05-17 2003-11-21 Tokyo Seimitsu Co Ltd 真空吸着装置
TWI267706B (en) * 2002-07-11 2006-12-01 Asml Netherlands Bv Substrate holder and device manufacturing method
JP4080401B2 (ja) 2003-09-05 2008-04-23 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP4513534B2 (ja) 2003-12-03 2010-07-28 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
JP4580327B2 (ja) 2005-11-21 2010-11-10 東京エレクトロン株式会社 被処理体の取り出し方法及びプログラム記憶媒体並びに載置機構
KR20070092530A (ko) * 2006-03-10 2007-09-13 삼성전자주식회사 매엽식 기판처리장치
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
KR100809594B1 (ko) * 2006-09-12 2008-03-04 세메스 주식회사 척킹부재 및 이를 포함하는 스핀헤드
KR100949090B1 (ko) 2007-09-19 2010-03-22 세메스 주식회사 스핀 유닛 및 이를 갖는 기판 가공 장치
KR101036605B1 (ko) 2008-06-30 2011-05-24 세메스 주식회사 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100862703B1 (ko) * 2007-01-31 2008-10-10 세메스 주식회사 지지부재 및 상기 지지부재를 구비하는 기판 처리 장치 및방법

Also Published As

Publication number Publication date
US20100130105A1 (en) 2010-05-27
JP2010130020A (ja) 2010-06-10
TWI408773B (zh) 2013-09-11
CN101740450B (zh) 2012-01-18
KR20100059359A (ko) 2010-06-04
US8382555B2 (en) 2013-02-26
TW201025495A (en) 2010-07-01
JP5174791B2 (ja) 2013-04-03
CN101740450A (zh) 2010-06-16

Similar Documents

Publication Publication Date Title
KR101004434B1 (ko) 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
KR101036605B1 (ko) 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치
KR101004432B1 (ko) 매엽식 기판 처리 장치
KR101226951B1 (ko) 기판 지지 유닛
KR100964871B1 (ko) 패드 컨디셔닝 유닛 및 이를 구비한 매엽식 기판 연마 장치
KR20110014916A (ko) 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치
KR102313563B1 (ko) 다양한 웨이퍼 연마 공정을 처리할 수 있는 웨이퍼 처리 시스템
KR100957227B1 (ko) 매엽식 기판 처리 장치
KR101098365B1 (ko) 기판 처리 장치 및 방법
KR20100002511A (ko) 기판 연마 방법
KR20100019545A (ko) 매엽식 기판 처리 장치 및 방법
KR101042323B1 (ko) 연마 유닛 및 이를 갖는 기판 연마 장치
KR101086786B1 (ko) 기판 지지유닛, 및 이를 갖는 기판 연마 장치
KR101236806B1 (ko) 기판 연마 장치 및 방법
KR101649894B1 (ko) 다양한 웨이퍼 연마 공정을 처리할 수 있는 화학 기계적 연마 시스템
KR101191036B1 (ko) 기판 처리 장치 및 방법
JP2000210865A (ja) 平面研磨装置
JP2009094534A (ja) 基板周縁部のエッチング処理方法および基板周縁部のエッチング処理装置
JP2002261057A (ja) 基板研磨装置及び基板研磨方法
KR20100061068A (ko) 기판 연마 장치 및 이를 이용한 기판 연마 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131217

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141212

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151222

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161220

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171220

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20181213

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20191211

Year of fee payment: 10