JP3497450B2 - バッチ式熱処理装置及びその制御方法 - Google Patents

バッチ式熱処理装置及びその制御方法

Info

Publication number
JP3497450B2
JP3497450B2 JP2000204592A JP2000204592A JP3497450B2 JP 3497450 B2 JP3497450 B2 JP 3497450B2 JP 2000204592 A JP2000204592 A JP 2000204592A JP 2000204592 A JP2000204592 A JP 2000204592A JP 3497450 B2 JP3497450 B2 JP 3497450B2
Authority
JP
Japan
Prior art keywords
temperature
processed
heat treatment
recipe
model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000204592A
Other languages
English (en)
Other versions
JP2002025997A (ja
Inventor
富士雄 鈴木
文凌 王
浩一 坂本
もゆる 安原
パンディ プラディープ
シャー スニル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000204592A priority Critical patent/JP3497450B2/ja
Priority to US09/897,908 priority patent/US6730885B2/en
Publication of JP2002025997A publication Critical patent/JP2002025997A/ja
Application granted granted Critical
Publication of JP3497450B2 publication Critical patent/JP3497450B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Waste-Gas Treatment And Other Accessory Devices For Furnaces (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハ等の
被処理体を多数枚一括して熱処理するバッチ式熱処理装
置に関し、特に、収容している半導体ウエハの温度を推
定し、推定結果に基づいて、最適な制御を行う適応制御
型のバッチ式熱処理装置及びその制御方法に関する。
【0002】
【従来の技術】多数の半導体ウエハに対して成膜処理、
酸化処理あるいは拡散処理などの熱処理を一括して行う
バッチ式熱処理装置として、横型熱処理装置や縦型熱処
理装置が知られており、最近では、大気の巻き込みが少
ない等の理由から縦型熱処理装置が主流になりつつあ
る。
【0003】図5は、縦型熱処理装置の外観を示す図で
あり、この装置は、縦型の加熱炉11と、ウエハ保持具
であるウエハボート12とを備えている。加熱炉11
は、縦型の反応管の周囲にヒータを設けて構成され、ガ
ス供給管11a及び排気管11bが接続されている。
【0004】ウエハボート12は、複数の支柱13を備
え、各支柱13に形成された溝にウエハWの周縁部を支
持することにより多数枚のウエハWが所定のピッチで棚
状に保持されるように構成される。ウエハボート12
は、多数枚のウエハWを保持した後に、ボートレベータ
により加熱炉11の下方開口部を通じて加熱炉11内に
搬入され、ウエハWに対して所定の熱処理が行われる。
【0005】このような熱処理装置の制御系において
は、例えば、成膜すべき薄膜の種類、膜厚などに応じ
て、処理圧力、処理温度、ガス流量などの処理条件(処
理パラメータの目標値)が決められており、これら処理
条件を書き込んだレシピが複数用意されている。各オペ
レータが薄膜の種類及び膜厚に応じたレシピを選択する
ことにより、予め定められた処理条件に基づいて熱処理
装置が運転される。このようなレシピでは、実際にウエ
ハボート12にウエハWを満載して熱処理を行い、最適
な処理条件を見つける事により作成される。
【0006】最近にあっては、多種多様な半導体デバイ
スが要求されることから、小ロットで多品種のウエハに
対して熱処理が必要とされる場合がある。例えば、製品
ウエハとしてフル枚数(満載時枚数)である150枚の
処理が必要な時には、ウエハボート12は満載状態とな
るが、それよりも少ない枚数、例えば、100枚、50
枚或いは25枚の熱処理が必要な場合もある。このよう
な場合、不足枚数だけ、ダミーウエハを用いてウエハボ
ート12を満載状態とし、ウエハ満載時の通常の処理条
件で熱処理を行うようにしていた。
【0007】しかし、ダミーウエハはコストが高く、複
数回の処理毎に洗浄されて繰り返し使用されるが、最終
的には廃棄され、このためランニングコストを高騰させ
る要因になっていた。また、製品ウエハの枚数が少ない
にもかかわらず、ダミーウエハの移載に時間を要し、ス
ループットの点でも無駄があった。また、バッチサイズ
が小さい場合(製品ウエハがフル枚数より少ない場
合)、ダミーウエハを用いずに処理を行うとウエハボー
ト12内にウエハ未載置の空領域ができる。この場合に
は、部分的にウエハ温度やガス濃度が乱れたりして、熱
処理のウエハ面内均一性、面間均一性及び処理速度が変
わって熱処理の再現性が低下してしまう。
【0008】また、一般に、バッチ式の熱処理装置は、
多数のウエハを一括して処理するため、微妙な制御がで
きず、ウエハの面間、面内でばらつきが発生する場合が
あった。
【0009】
【発明が解決しようとする課題】本発明は、このような
事情の下に成されたものであり、保持具に被処理体を保
持しない空き領域があっても良好な処理を行うことがで
きるバッチ式熱処理装置及びその制御方法を提供するこ
とを目的とする。また、本発明は、多品種少量生産に適
したバッチ式熱処理装置及びその制御方法を提供するこ
とを目的とする。また、本発明は、バッチ式でありなが
ら、個々のウエハを適切に処理できるバッチ式熱処理装
置及びその制御方法を提供することを目的とする。
【0010】
【課題を解決するための手段】上記目的を達成するた
め、この発明の第1の観点に係るバッチ式熱処理装置
は、複数のヒータと、複数の温度センサとを備え、内部
に被処理体を収容する加熱炉と、前記温度センサの出力
から、前記加熱炉内の被処理体の温度を推定するための
モデルを、被処理体の枚数及び配置に応じて、複数記憶
するモデル記憶手段と、前記モデル記憶手段に記憶され
ている複数のモデルのうち、前記加熱炉内に収容されて
いる被処理体の枚数及び配置に対応するモデルに基づい
て、前記温度センサの出力から前記被処理体の温度を推
定し、この推定に従って、前記複数の加熱炉のヒータを
独立して制御する制御手段と、を備える、ことを特徴と
する。
【0011】この構成によれば、モデル記憶手段に、被
処理体の処理枚数と配置に応じたモデルが記憶されてい
る。温度センサの出力とこのモデルとにより、被処理体
の温度を推測することができる。すなわち、間接的に、
被処理体の温度を測定することができる。そして、推定
した温度に従って、ヒータを制御することにより、各ウ
エハが適切な温度変化を行うように、加熱・冷却するこ
とができる。従って、被処理体の枚数や配置にかかわら
ず、被処理体を適切に加熱処理することができる。
【0012】例えば、前記モデルは、推定した被処理体
の温度を目標値に近づけるために、ヒータを制御するた
めのモデルを含んでいてもよい。
【0013】前記モデルは、温度帯域毎に設定されても
よい。この場合、前記制御手段は、被処理体の温度に応
じたモデルを選択して、制御を行う。
【0014】前記制御手段は、被処理体に施すべき温度
変化を示すレシピを記憶するレシピ記憶手段を備え、前
記被処理体の温度が、前記レシピ記憶手段に記憶された
レシピに従って変化するように、前記モデルに基づい
て、前記被処理対象の温度を推定し、この推定値に従っ
て、前記ヒータを制御してもよい。
【0015】前記レシピ記憶手段も、被処理体の枚数及
び配置別の複数のレシピを記憶してもよい。この場合、
前記制御手段は、加熱炉に収容された被処理体の枚数及
び配置に対応するレシピを選択して、選択したレシピに
従って、前記ヒータを制御する。
【0016】前記レシピ記憶手段は、前記加熱炉内の、
縦方向の複数のゾーン別に、面間の膜厚が均一になるよ
うに修正されたレシピを記憶し、前記制御手段は、各ゾ
ーンのレシピに従って、前記ヒータを制御するようにし
てもよい。
【0017】前記制御手段は、例えば、前記モデルを参
照して推定したウエハ温度の組と前記複数のゾーンのレ
シピが指示する温度の組とのばらつきが最小となるよう
に、前記ヒータを制御する。
【0018】また、この発明の第2の観点にかかるバッ
チ式熱処理装置の制御方法は、複数のヒータと、複数の
温度センサとを備え、内部に被処理体を収容する加熱炉
を有するバッチ式熱処理装置の制御方法であって、温度
センサの出力から被処理体の温度を推定するための数学
モデルを、被処理体の枚数及び配置に応じて、複数記憶
し、収容された被処理体の枚数及び配置を特定し、特定
した被処理体の枚数及び配置に対応するモデルを用い
て、温度センサの出力から被処理体の温度を推定し、推
定した温度が目標値に達するように、前記複数のヒータ
を適応制御する、ことを特徴とする。
【0019】なお、上述のバッチ式熱処理装置の制御方
法を実行するための数学モデルやプログラムをROM、
フラッシュメモリなどに記録して、バッチ式熱処理装置
に装着したり配布したりしてもよい。
【0020】
【発明の実施の形態】本発明のバッチ式熱処理装置を縦
型熱処理装置に適用した実施の形態について説明する。
この縦型熱処理装置は、図1に示すように、例えば、石
英で作られた内管2a及び外管2bよりなる二重管構造
の反応管2を備え、反応管2の下側には金属性の筒状の
マニホールド21が設けられている。内管2aは上端が
開口されており、マニホールド21に支持されている。
外管2bは有天井に形成され、下端がマニホールド21
の上端に気密に接合されている。
【0021】反応管2内には、多数枚、例えば、150
枚の被処理体を成すウエハW(製品ウエハ)が水平な状
態で、上下に間隔をおいてウエハ保持具であるウエハボ
ート23に棚状に配置されている。このウエハボート2
3は蓋体24の上に保温筒(断熱体)25を介して保持
されている。
【0022】反応管2の周囲には、例えば、抵抗体より
成るヒータ3が設けられている。ヒータ3は、5段に配
置されたヒータ31〜35から構成される。ヒータ31
〜35には、電力コントローラ36〜40より、それぞ
れ独立して電力が供給される。反応管2、マニホールド
21、ヒータ3により加熱炉が構成される。
【0023】また、マニホールド21には、内管2a内
にガスを供給するように複数のガス供給管が設けられて
おり、図1では、理解を容易にするため、3本のガス供
給管41,42,43を示している。各ガス供給管4
1,42,43には、ガス流量を調整するためのマスフ
ローコントローラ(MFC)などの流量調整部44,4
5,46を介してジクロルシラン、アンモニア、窒素が
それぞれ供給される。さらにマニホールド21には、内
管2aと外管2bとの隙間から排気するように排気管2
7が接続されている。この排気管27は、図示しない真
空ポンプに接続されている。排気管27には、反応管2
内の圧力を調整するための、コンビネーションバルブ、
バタフライバルブやバルブ駆動部などを含む圧力調整部
28が設けられている。
【0024】内管2aの内面には、垂直方向に一列に5
つの熱電対(温度センサ)Sinが配置されている。熱電
対Sinは、半導体ウエハWの金属汚染を防止するため、
例えば、石英のパイプ等によりカバーされている。
【0025】また、外管2bの外面には、垂直方向に一
列に複数の熱電対(温度測定部)Soutが配置されてい
る。
【0026】この縦型熱処理装置は、反応管2内の処理
雰囲気の温度、ガス流量、圧力といった処理パラメータ
を制御するための制御部100を備えており、この制御
部100は、熱電対SinとSoutの検出信号を取り込
み、ヒータ3の電力コントローラ36〜40、圧力調整
部28,流量調整部44〜46に制御信号を出力する。
【0027】図2は、制御部100の構成を示す。図2
に示すように、制御部100は、モデル記憶部111
と,レシピ記憶部112と、ROM113と、RAM1
14と,I/Oポート115と、CPU116と、これ
らを相互に接続するバス117とから構成される。
【0028】モデル記憶部111は、熱電対Sin及びS
outの出力信号(測定温度)からウエハボート23に載
置されているウエハWの温度を推定(計算)し、さら
に、推定した温度を目標値に設定するためにヒータ31
〜35に供給すべき電流を指示するために設計されたモ
デル(数学モデル;高次・多次元関数)を記憶してい
る。なお、モデルの設計手法については後述する。
【0029】ウエハボート23には、例えば、図3にお
ける(a)〜(d)に模式的に示すように、全ての棚に
ウエハWが載置される場合、一部の棚にしかも位置を変
えてウエハWが載置される場合がある。さらには、ダミ
ーウエハDWが配置される場合もある。そこで、モデル
は、この熱処理装置で処理されるウエハWの枚数及び配
置パターン毎にウエハWの温度を正確に推定し、且つ、
その温度を目標値に誘導することができるように予め設
計され、記憶される。
【0030】例えば、図3における(a)〜(d)に示
すようにウエハWが配置された場合には、それぞれ、そ
の処理枚数及び配置用に設計されたモデルを使用する事
により、温度を正確に推定することができる。
【0031】レシピ記憶部112には、この熱処理装置
で実行される成膜処理の種類に応じて、制御手順を定め
るレシピが複数種類記憶されている。各レシピは温度レ
シピを含んでいる。通常のバッチ処理の場合、全ウエハ
について1通りの温度レシピが用意される。これに対
し、この実施の形態においては、個々の熱処理装置の特
性に応じて、面間及び面内で膜厚が均一になるように、
図4(a)に示すように、反応管2内をウエハWの配列
方向(縦方向)に5つのゾーン(ヒータ31〜35によ
って定義される5つの空間)に分け、(b)に示すよう
に、ゾーン毎に調整された温度レシピ(温度目標軌道)
が用意されている。調整された温度レシピは、ガスの流
量の差等の要因による膜厚のばらつきを温度制御で吸収
できるように調整されている。温度レシピの設計手法に
ついては後述する。
【0032】ROM113は、EEPROM、フラッシ
ュメモリ、ハードディスクなどから構成され、CPU1
16の動作プログラム等を記憶する記録媒体である。R
AM114は、CPU116のワークエリアなどとして
機能する。I/Oポート115は、熱電対Sin及びSou
tの測定信号をCPU116に供給すると共に、CPU
116が出力する制御信号を各部へ出力する。また、I
/Oポート115には、操作パネル118が接続されて
いる。バス117は、各部の間で情報を伝達する。
【0033】CPU116は、DSPなどでもよく、R
OM113に記憶された制御プログラムを実行し、操作
パネル118からの指示に従って、レシピ記憶部112
に記憶されているレシピに沿って、熱処理装置の動作を
制御する。具体的には、CPU116は、操作パネル1
18から入力されたウエハボート23上のウエハWの処
理枚数及び配置に応じて、モデル記憶部111に記憶さ
れている複数のモデルの内から該当するものを選択して
読み出し、また、レシピ記憶部112に記憶されている
複数のレシピの内から該当するものを選択して読み出
す。そして、レシピに従って処理動作を実行する。特
に、この実施の形態においては、熱電対Sin及びSout
からの測定値及び電力コントローラ36〜40への指示
値(又は電力コントローラ36〜40がヒータ31〜3
5に供給した電力を示す値)を取り込んで、ウエハの温
度を刻一刻と推定し、選択したレシピに含まれている温
度レシピが指示する値とこの推定値とが一致するよう
に、電力コントローラ36〜40に供給電力を指示す
る。
【0034】なお、ウエハWの搬送部からの情報によ
り、ウエハWの処理枚数と配置とを把握することも可能
である。また、CPU116は、通常の熱処理装置の制
御と同様に、流量コントローラ44〜46への指示、圧
力調整部28への指示なども行う。
【0035】次に、上記構成のバッチ式熱処理装置によ
る成膜処理について説明する。まず、ウエハボート23
に、製品ウエハ(処理対象のウエハ)Wが必要枚数載置
される。この例では、図3(b)に示すように、100
枚のウエハWが下詰めされたとする。このウエハボート
23が反応管2内にロードされると、オペレータは、ウ
エハWの枚数(100)と配置(下詰め)及び処理の内
容(窒化膜の形成)を、操作パネル118より入力す
る。CPU116は、指示に応答し、図3(b)の配置
用のモデルとレシピをモデル記憶部111とレシピ記憶
部112からそれぞれ読み出す。なお、CPU116
は、ウエハWの処理枚数と配置とをウエハ搬送部から取
得するようにしてもよい。
【0036】次に、読み出したレシピに従って、ヒータ
3に通電して昇温を開始する。さらに、CPU116
は、熱電対Sin及びSoutの出力信号を、読み出したモ
デルに適用し、上段、中上段、中段、中下段、下段の5
つのゾーンのウエハの温度を推定し、推定した温度が全
体として温度レシピが設定している温度の組み合わせに
最も近づくように、刻一刻とヒータ31〜35に供給す
る電力を電力コントローラ36〜40を介して制御す
る。即ち、推定したウエハ温度に基づいて、ウエハ温度
を適応(アダプティブ)制御する。
【0037】例えば、5つのゾーンのウエハの温度がW
t1、Wt2、Wt3、Wt4、Wt5であると推測(計算)さ
れ、レシピが指示する温度がTt1、Tt2、Tt3、Tt4、
Tt5である場合には、実際の温度(推測温度)と目標温
度(レシピ指示温度)との差が全体として最も小さくな
るように制御が行われる。例えば、最小2乗法を用い
て、(Wt1−Tt1)+(Wt2−Tt2)+(Wt3−T
t3)+(Wt4−Tt4)+(Wt5−Tt5)が最小に
なるように、ヒータ31〜35に供給する電力を個々に
制御する。
【0038】表現を変えれば、熱電対Sin及びSoutの
出力に従って各ウエハWの温度を刻一刻と推測して求
め、求めたウエハWの温度が予め定められているレシピ
が指定する温度となるよう5つのヒータ31〜35を個
別に適応制御(アダプティブ制御)する。
【0039】昇温が終了すると、各ゾーン(上段、中上
段、中段、中下段、下段)の温度をそれぞれ一定に維持
するように適応制御を続ける。仮に、図4(b)に示す
温度変化が、図3(b)の配置用の温度レシピ(温度軌
道)が示す温度の軌道であるとすると、CPU116
は、上段(ゾーン1)が852℃、中上段(ゾーン2)
が850℃、中段(ゾーン3)が849℃、中下段(ゾ
ーン4)が848℃、下段(ゾーン5)が846℃とな
るように制御する。
【0040】反応管2内の温度が安定するのに十分な時
間が経過すると、反応管2に処理ガスを供給し、成膜を
開始する。成膜処理の間も、上段、中上段、中段、中下
段、下段の各ゾーンのウエハWの温度が全体として温度
レシピの設定温度に最も近づくように温度制御を行う。
このため、上段、中上段、中段、中下段、下段の各ゾー
ンのウエハWは、見かけ上、異なる温度で成膜処理が成
される。ただし、モデル及びレシピが、後述するよう
に、均一な膜が形成できるように調整された値(成膜ガ
スの濃度や、ウエハの処理枚数や配置のばらつきの影響
などを、熱に換算して調整された値)であるので、面間
及び面内で比較的均一な厚さの膜が成長する。
【0041】成膜が終了すると、成膜ガスの供給を停止
し、反応管2内を冷却し、処理済のウエハボート23を
アンロードする。
【0042】このバッチ式熱処理装置では、制御部10
0内に、ウエハボート23に載置されるウエハWの処理
枚数及び配置に応じたモデルとレシピが予め用意されて
いる。従って、制御部100は、ロードされたウエハボ
ート23に載置されたウエハWの処理枚数及び配置に対
応するモデルとレシピを読み出して、適応制御により適
切に成膜処理(熱処理)を行うことができる。この適応
制御により、ダミーウエハなどを使用しなくても、各ウ
エハの温度を適切に制御することができる。また、ダミ
ーウエハを使用する場合でも、高価なダミーウエハの使
用枚数を従来よりも減らして、かつ、適切な温度制御を
行うことができる。従って、ウエハの処理枚数や配置に
かかわらず、安定して、一定の厚さの膜を形成すること
ができる。従って、少量生産品にも臨機応変に対応でき
る。
【0043】また、膜厚が面間及び面内で均一となるよ
うに温度レシピがゾーン毎に調整されているので、ガス
の流れ、ガス密度の分布、温度勾配等による膜厚の差の
発生を抑えることができる。
【0044】図3における(a)、(c)、(d)に示
すような処理枚数と配置が選択された場合の動作は、モ
デル記憶部111及びレシピ記憶部112から読み出さ
れる(選択される)モデル及びレシピが上記説明のもの
と異なる点以外は、実質的に同一の処理動作が行われ
る。
【0045】次に、モデルとレシピの設計手法につい
て、説明する。モデルは、熱電対Sin及びSoutの出力
(測定値)及びヒータ31〜35への供給電力などか
ら、ウエハWの温度を推測し、さらに、推測した5つの
温度を全体として目的温度に近接させるために、ヒータ
31〜35に供給する電力を特定可能な数学モデルであ
るならば任意のモデル(多変数、多次元、多出力関数)
を利用可能である。このようなモデルとしては、例え
ば、米国特許第5,517,594号公報に開示された
モデルを使用することができる。
【0046】以下、米国特許第5,517,594号公
報に開示されたモデルを例に説明する。まず、図1に示
す熱処理装置に、中心と中心から例えば6mm離れた位置
とに熱電対SwcとSweを組み込んだ5枚のテスト用ウエ
ハを用意する。次に、これらの5枚のテスト用ウエハ
が、図4(b)の5つのゾーンに1つずつ位置するよう
に、テスト用ウエハと通常のウエハとをウエハボート2
3に載置する。次に、このウエハボート23を反応管2
にロードする。次に、ヒータ31〜35に高周波帯域の
信号及び低周波帯域の信号を印加し、熱電対Sin、Sou
tの出力、テスト用ウエハ上の熱電対SwcとSweの出力
(ウエハ温度)、ヒータに供給される電流などのデータ
を、例えば、1〜5秒のサンプリング周期で取得する。
【0047】次に、一定の温度範囲、例えば400℃〜
1100℃の範囲で、100℃間隔で温度帯域を設定す
る(広温度帯域を1つのモデルでカバーすると温度の推
定などが不正確になってしまうため)。取得したデータ
から、各温度帯域について、数式1に示すARX(自動
回帰)モデルを設定する。
【0048】
【数1】yt+AA1t-1+AAt-2+...+AAn
t-n=BB1t-1+BBt-2+...+BBnt-n +e
t:時点tでの以下の内容を成分とするp行1列のベ
クトル 内容:熱電対Sinの出力の変動量(この例では5つの熱
電対Sinが存在するため、5成分)、熱電対Soutの出
力の変動量(この例では5つの熱電対Soutが存在する
ため、5成分)、ウエハの中心部にセットした熱電対S
wcの出力の変動量(この例では5つ)、ウエハの周縁部
にセットした熱電対Sweの出力の変動量(この例では5
つ)。従って、この例では、yは20行1列のベクト
ルとなる。 ut:時点tでのヒータ電力の変動量を成分とするm行
1列のベクトル(この例では、ヒータが5台のため、5
行1列)。 e:ホワイトノイズを成分とするm行1列のベクト
ル。 n:遅れ(例えば8)。 AA1〜AAn:p行p列の行列(この例では、20行2
0列)。 BB1〜BBn:p行m列の行列(この例では、20行5
列)。
【0049】ここで、各係数AA1〜AAnとBB1〜B
nn を、最小二乗法などを用いて決定する。
【0050】このARXモデル関係を、状態空間法に適
用すると、その基本方程式は数式2で表すようになる。
【数2】xt+1=Axt+But +Kft=Cxt+1+e ここで、xは状態変数、Kはカルマンフィルタのフィー
ドバック系A,B,Cは、行列である。
【0051】実際の成膜時の処理速度を向上するため、
次数を10次程度まで低次元化し、数式2から温度帯域
毎に数式モデルを作成する。
【0052】こうして、温度帯域毎に、入力(Sin及び
Sout、及びヒータ電力P)からウエハの温度を導く数
式3を導く。
【数3】x^t+1=Ax^t+B(Pinput,t+ubias
)+L(Tthermocouple,t−Csx^t+Sbias) Tmodel,t=Cwx^t+Wbias
【0053】次に、再度、テスト用ウエハを処理し、数
式3に基づいて推定されたウエハ温度Tmodelと実測値
Twaferとを比較し、モデルをチューニングする。この
チューニング動作を必要に応じて複数回繰り返す。
【0054】一方、CPU116の動作プログラムに関
しては、温度の設定値から推測したウエハ温度の変動の
時間平均を最小化するように動作を設定する。
【0055】さらに、成膜処理の種類に応じて、各ゾー
ン内で均一な成膜が可能となるような温度目標軌道Ttr
aj(t)、すなわち、温度レシピを設計する。続いて、
5つのゾーンが全てこの温度目標軌道を追従するように
制御を行ってテスト的に成膜処理を実行する。処理後、
成膜された膜の厚さを測定し、膜厚のばらつき等をチェ
ックする。例えば、上段のウエハの膜厚が下段のウエハ
の膜厚よりも小さい場合、直接的な原因は不明でも、上
段の温度を相対的に上昇させることにより、膜厚をほぼ
等しくすることができる。そこで、最小二乗法等を用い
て、ばらつきが最も小さくなるように、温度目標軌道T
traj(t)を修正する。これが、図4(b)に示すよう
なゾーン毎の温度レシピである。この温度レシピをさら
にチューニングすることも可能である。
【0056】このようにして、ウエハの処理枚数及びそ
の配置に応じて、ウエハの温度推定及びウエハ温度を目
標温度とするための出力を定義するモデルと、レシピが
それぞれ設定され、モデル記憶部111とレシピ記憶部
112に記憶される。
【0057】その後、実際の成膜時に、これらのモデル
及びレシピは適宜選択されまた読み出されて制御に使用
される。
【0058】以上、この発明の実施の形態に係るバッチ
式の熱処理装置及びその適応制御方法、さらに、制御に
使用するモデル及びレシピの設計手法を説明したが、こ
の発明は上記実施の形態に限定されず種々の変形及び応
用が可能である。例えば、上記実施の形態では、窒化膜
形成用の熱CVD装置を例にこの発明を説明したが、処
理の種類は任意であり、他種類の膜を形成するCVD装
置、酸化装置、エッチング装置、等の様々なバッチ式熱
処理装置に適用可能である。ただし、種類毎に、モデル
とレシピを設計する。
【0059】また、機器構成や動作も上記実施の形態に
限定されない。例えば、上記実施の形態では、ヒータの
数を5つとし、反応管2内のゾーンを5つとしたが、ヒ
ータの数や温度ゾーンの数は任意である。また、ヒータ
は、電気抵抗型のものに限定されず、ランプなどでもよ
い。また、温度を測定するための構成も熱電対に限定さ
れず、任意の温度センサを適用可能である。
【0060】また、モデルやその設計手法も、米国特許
5,517,594に開示されたモデルやその設計手法
に限定されるものではなく、任意のモデル及び任意の設
計手法を採用可能である。また、全ての装置について、
モデルを個々に設計するのは煩雑であり、同一仕様の熱
処理装置について1つのモデル及び/又はレシピを作成
し、これを装置毎に最適化処理することにより、モデル
及びレシピを共通化してもよい。この方法によれば、モ
デルの作成とチューニングを効率よく行うことができ
る。
【0061】
【発明の効果】以上説明したように、この発明によれ
ば、バッチ式の熱処理装置において、加熱炉内に処理枚
数や配置が異なる被処理体が収容された場合でも、適切
に処理を行うことが可能である。
【図面の簡単な説明】
【図1】この発明の実施の形態に係る熱処理装置の構造
を示す図である。
【図2】制御部の構成例を示す回路図である。
【図3】(a)〜(d)は、ウエハボートへのウエハの
配置例を示す図である。
【図4】(a)は反応管内のゾーンを示し、(b)はゾ
ーン別の目標温度軌道の例を示す図である。
【図5】従来の熱処理装置の構成図である。
【符号の説明】
2 反応管 3 ヒータ 21 マニホールド 23 ウエハボート 24 蓋体 25 保温筒(断熱体) 31 上段ヒータ 32 上中段ヒータ 33 中段ヒータ 34 下中段ヒータ 35 下段ヒータ 36〜40 電力コントローラ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 坂本 浩一 神奈川県津久井郡城山町1丁目2番41号 東京エレクトロン東北株式会社 相模 事業所内 (72)発明者 安原 もゆる 東京都港区赤坂五丁目3番6号 東京エ レクトロン株式会社内 (72)発明者 プラディープ パンディ アメリカ合衆国、カリフォルニア州、サ ンノゼ、ブルックトリーコート7169 (72)発明者 スニル シャー アメリカ合衆国、カリフォルニア州、ロ スアルトス、リンデンアベニュー637 (56)参考文献 特開 平10−189465(JP,A) 特開2000−195809(JP,A) 特開2001−144019(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/205 H01L 21/22 H01L 21/31

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】複数のヒータと、複数の温度センサとを備
    え、内部に被処理体を収容する加熱炉と、 前記温度センサの出力から、前記加熱炉内の被処理体の
    温度を推定するためのモデルを、被処理体の処理枚数及
    び配置に応じて、複数記憶するメモリと、 前記メモリに記憶されている複数のモデルのうち、前記
    加熱炉内に収容されている被処理体の処理枚数及び配置
    に対応するモデルに基づいて、前記温度センサの出力か
    ら前記被処理体の温度を推定し、この推定に従って、前
    記複数のヒータを制御する制御手段と、を備える、こと
    を特徴とするバッチ式熱処理装置。
  2. 【請求項2】前記モデルは、推定した被処理体の温度を
    目標値に近づけるために、ヒータを制御するためのモデ
    ルを含む、ことを特徴とする請求項1に記載のバッチ式
    熱処理装置。
  3. 【請求項3】前記モデルは、温度帯域毎に設定されてお
    り、 前記制御手段は、被処理体の温度に応じたモデルを選択
    する、ことを特徴とする請求項1又は2に記載のバッチ
    式熱処理装置。
  4. 【請求項4】前記制御手段は、被処理体に施すべき温度
    変化を示すレシピを記憶するレシピ記憶手段を備え、 前記被処理体の温度が前記レシピ記憶手段に記憶された
    レシピに従って変化するように、前記モデルに基づい
    て、前記被処理対象の温度を推定し、この推定値に従っ
    て前記ヒータを制御する、ことを特徴とする請求項1、
    2又は3に記載のバッチ式熱処理装置。
  5. 【請求項5】前記レシピ記憶手段は、被処理体の処理枚
    数及び配置別の複数のレシピを記憶し、 前記制御手段は、加熱炉に収容された被処理体の処理枚
    数及び配置に対応するレシピを選択して、選択したレシ
    ピに従って前記ヒータを制御する、ことを特徴とする請
    求項4に記載のバッチ式熱処理装置。
  6. 【請求項6】前記レシピ記憶手段は、前記加熱炉内の、
    被処理体の配列方向の複数のゾーン別に、修正されたレ
    シピを記憶し、 前記制御手段は、各ゾーンのレシピに従って前記ヒータ
    を制御する、ことを特徴とする請求項5に記載のバッチ
    式熱処理装置。
  7. 【請求項7】前記制御手段は、前記モデルを参照して推
    定したウエハ温度の組と前記複数のゾーンのレシピが指
    示する温度の組とのばらつきが最小となるように、前記
    ヒータを制御する、ことを特徴とする請求項6に記載の
    バッチ式熱処理装置。
  8. 【請求項8】複数のヒータと、複数の温度センサとを備
    え、内部に被処理体を収容する加熱炉を有するバッチ式
    熱処理装置の制御方法であって、 温度センサの出力から被処理体の温度を推定するための
    モデルを、被処理体の処理枚数及び配置に応じて複数記
    憶し、 収容された被処理体の処理枚数及び配置を特定し、 特定した被処理体の処理枚数及び配置に対応するモデル
    に基づいて、温度センサの出力から被処理体の温度を推
    定し、 推定した温度が目標値に達するように、前記複数のヒー
    タを適応制御する、ことを特徴とするバッチ式熱処理装
    置の制御方法。
JP2000204592A 2000-07-06 2000-07-06 バッチ式熱処理装置及びその制御方法 Expired - Lifetime JP3497450B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000204592A JP3497450B2 (ja) 2000-07-06 2000-07-06 バッチ式熱処理装置及びその制御方法
US09/897,908 US6730885B2 (en) 2000-07-06 2001-07-05 Batch type heat treatment system, method for controlling same, and heat treatment method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000204592A JP3497450B2 (ja) 2000-07-06 2000-07-06 バッチ式熱処理装置及びその制御方法

Publications (2)

Publication Number Publication Date
JP2002025997A JP2002025997A (ja) 2002-01-25
JP3497450B2 true JP3497450B2 (ja) 2004-02-16

Family

ID=18701829

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000204592A Expired - Lifetime JP3497450B2 (ja) 2000-07-06 2000-07-06 バッチ式熱処理装置及びその制御方法

Country Status (2)

Country Link
US (1) US6730885B2 (ja)
JP (1) JP3497450B2 (ja)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JP4686887B2 (ja) * 2001-04-09 2011-05-25 東京エレクトロン株式会社 成膜方法
DE60239683D1 (de) 2001-08-08 2011-05-19 Tokyo Electron Ltd Wärmebehandlungsverfahren und wärmebehandslungseinrichtung
EP1560467B1 (en) * 2002-07-19 2014-02-26 LG Display Co., Ltd. Source for thermal physical vapor deposition of organic electroluminescent layers
JP3853302B2 (ja) * 2002-08-09 2006-12-06 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4523225B2 (ja) * 2002-09-24 2010-08-11 東京エレクトロン株式会社 熱処理装置
JP4030858B2 (ja) * 2002-10-30 2008-01-09 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US20040165012A1 (en) * 2003-02-20 2004-08-26 International Business Machines Corp. Cascading menu with selectable offset
US20040173315A1 (en) * 2003-03-04 2004-09-09 Charles Leung Apparatus and method for reducing impurities in a semiconductor material
DE602004020975D1 (de) * 2003-03-06 2009-06-18 Leco Corp Analytischer ofen mit prädiktiver temperaturregelung
JP3802889B2 (ja) * 2003-07-01 2006-07-26 東京エレクトロン株式会社 熱処理装置及びその校正方法
DE10340511B3 (de) * 2003-09-03 2004-11-11 Infineon Technologies Ag Verfahren zur Kontrolle von Batch-Anlagen
JP4712343B2 (ja) * 2003-10-30 2011-06-29 東京エレクトロン株式会社 熱処理装置、熱処理方法、プログラム及び記録媒体
JP4455225B2 (ja) * 2004-08-25 2010-04-21 Necエレクトロニクス株式会社 半導体装置の製造方法
US7700376B2 (en) * 2005-04-06 2010-04-20 Applied Materials, Inc. Edge temperature compensation in thermal processing particularly useful for SOI wafers
JP2007046123A (ja) * 2005-08-11 2007-02-22 Ishikawajima Harima Heavy Ind Co Ltd 多室型熱処理装置及び温度制御方法
US7378781B2 (en) * 2005-09-07 2008-05-27 Nokia Corporation Acoustic wave resonator with integrated temperature control for oscillator purposes
JP4893045B2 (ja) * 2006-03-22 2012-03-07 富士通セミコンダクター株式会社 薄膜製造方法及び薄膜製造装置
JP4697879B2 (ja) 2006-05-09 2011-06-08 東京エレクトロン株式会社 サーバ装置、およびプログラム
US7869888B2 (en) 2006-05-31 2011-01-11 Tokyo Electron Limited Information processing apparatus, semiconductor manufacturing system, information processing method, and storage medium
JP5273697B2 (ja) 2006-08-01 2013-08-28 東京エレクトロン株式会社 サーバ装置およびプログラム
JP4780715B2 (ja) 2006-08-01 2011-09-28 東京エレクトロン株式会社 サーバ装置およびプログラム
US8843905B2 (en) 2006-11-02 2014-09-23 Tokyo Electron Limited Server apparatus, manufacturing apparatus, group management system, information processing method, and storage medium
JP5077992B2 (ja) 2006-11-06 2012-11-21 東京エレクトロン株式会社 サーバ装置、情報処理方法、及びプログラム
JP5097134B2 (ja) 2007-02-07 2012-12-12 東京エレクトロン株式会社 サーバ装置、情報処理方法、及びプログラム
JP5010414B2 (ja) * 2007-09-26 2012-08-29 東京エレクトロン株式会社 基板処理システム,基板処理装置の制御方法,およびプログラム
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP4975656B2 (ja) 2008-02-01 2012-07-11 東京エレクトロン株式会社 情報処理装置、情報処理方法、およびプログラム
JP4550908B2 (ja) 2008-02-05 2010-09-22 東京エレクトロン株式会社 製造装置、情報処理方法、及びプログラム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102009016138A1 (de) * 2009-04-03 2010-10-14 Ipsen International Gmbh Verfahren und Computer-Programm zur Steuerung der Wärmebehandlung von metallischen Werkstücken
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013161857A (ja) * 2012-02-02 2013-08-19 Tokyo Electron Ltd 熱処理装置及び熱処理装置の制御方法
JP5788355B2 (ja) 2012-03-29 2015-09-30 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6021977B2 (ja) * 2015-03-25 2016-11-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
PL3403041T3 (pl) 2016-01-15 2021-02-08 Corning Incorporated Wypalanie w piecu z gradientami różnicy temperatury
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107424947A (zh) * 2017-08-16 2017-12-01 君泰创新(北京)科技有限公司 薄膜电池工艺设备的温度测试方法及系统
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10741426B2 (en) * 2017-09-27 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling temperature of furnace in semiconductor fabrication process
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
SG11202003438QA (en) * 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP1605982S (ja) * 2017-12-27 2021-05-31
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP6804027B2 (ja) 2018-02-23 2020-12-23 株式会社Kokusai Electric 基板処理装置、温度制御方法、半導体装置の製造方法及び温度制御プログラム
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP6987017B2 (ja) * 2018-05-14 2021-12-22 東京エレクトロン株式会社 反応管ユニットの搬送方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11894220B2 (en) * 2019-07-17 2024-02-06 Applied Materials, Inc. Method and apparatus for controlling a processing reactor
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5831405A (ja) 1981-08-19 1983-02-24 Hitachi Ltd 加熱炉内温度制御方式
JPS59108119A (ja) 1982-12-13 1984-06-22 Hitachi Ltd 炉内温度オンライン計測・制御方式
JPS6163034A (ja) 1984-09-05 1986-04-01 Hitachi Ltd 半導体製造炉内の酸化膜厚分布均一化制御方式
JPS61125618A (ja) * 1984-11-24 1986-06-13 Ohkura Electric Co Ltd パタ−ン切換式温度制御装置
JPS63311722A (ja) 1987-06-15 1988-12-20 Sony Corp 熱処理装置の温度設定方法
JP2978500B2 (ja) 1988-12-09 1999-11-15 日本電気株式会社 拡散酸化装置
JPH07105357B2 (ja) * 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
JPH03145121A (ja) 1989-10-31 1991-06-20 Toshiba Corp 半導体熱処理用温度制御装置
JPH05267200A (ja) 1992-03-24 1993-10-15 Hitachi Ltd 半導体熱処理装置
JP2803460B2 (ja) 1992-04-15 1998-09-24 日本電気株式会社 減圧気相成長装置
JPH065682A (ja) 1992-06-22 1994-01-14 Toshiba Corp 半導体ウエーハの面内温度分布シミュレーション方法
US5616264A (en) * 1993-06-15 1997-04-01 Tokyo Electron Limited Method and apparatus for controlling temperature in rapid heat treatment system
US5622639A (en) * 1993-07-29 1997-04-22 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
TW266230B (ja) * 1993-09-09 1995-12-21 Tokyo Electron Co Ltd
JPH07283158A (ja) 1994-04-11 1995-10-27 Tokyo Electron Ltd 熱処理装置およびその温度制御方法
FI94066C (fi) 1994-05-16 1995-07-10 Valmet Paper Machinery Inc Rainamateriaalin valmistuskoneella kuten kartonki- tai paperikoneella ja/tai jälkikäsittelykoneella valmistettavan paperiradan eri poikkiprofiilien kokonaisvaltainen hallintajärjestelmä
US5517594A (en) 1994-10-17 1996-05-14 Relman, Inc. Thermal reactor optimization
US5517591A (en) 1995-06-07 1996-05-14 Siecor Corporation Compact slotted core ribbon cable
JPH10189465A (ja) 1996-12-26 1998-07-21 Dainippon Screen Mfg Co Ltd 基板の熱処理装置およびそれを備える薄膜形成装置
JP4503718B2 (ja) 1998-12-28 2010-07-14 株式会社日立国際電気 半導体製造方法
JP4232307B2 (ja) * 1999-03-23 2009-03-04 東京エレクトロン株式会社 バッチ式熱処理装置の運用方法
JP2001144019A (ja) 1999-11-10 2001-05-25 Tokyo Electron Ltd バッチ式熱処理装置

Also Published As

Publication number Publication date
US20020014483A1 (en) 2002-02-07
JP2002025997A (ja) 2002-01-25
US6730885B2 (en) 2004-05-04

Similar Documents

Publication Publication Date Title
JP3497450B2 (ja) バッチ式熱処理装置及びその制御方法
JP4493192B2 (ja) バッチ式熱処理装置及びその制御方法
JP5101243B2 (ja) 基板処理装置,基板処理装置の制御方法,およびプログラム
JP4357715B2 (ja) 熱処理装置の温度校正方法
TW201342473A (zh) 熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法以及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體
TWI533099B (zh) 熱處理設備及控制該設備之方法
JP2009260262A (ja) 熱処理装置、熱処理装置の温度調整方法、及び、プログラム
JP5642612B2 (ja) 熱処理装置および熱処理方法
JP3688264B2 (ja) 熱処理方法及び熱処理装置
JP5049302B2 (ja) 熱処理装置、熱処理装置の温度調整方法、及び、プログラム
JP4546623B2 (ja) 熱処理装置の制御条件決定方法
JP4030858B2 (ja) 熱処理装置及び熱処理方法
JP6596316B2 (ja) 熱処理システム、熱処理方法、及び、プログラム
WO2005043609A1 (ja) 熱処理装置及び熱処理方法
JP4262908B2 (ja) 熱処理装置及び熱処理方法
JP4222461B2 (ja) バッチ式熱処理方法
JP2002334844A (ja) 熱処理装置及び熱処理方法
JP2002252220A (ja) 熱処理システム及び熱処理方法
JP4514915B2 (ja) 熱処理装置、基板の熱処理方法、および処理レシピを記録した媒体
KR100849012B1 (ko) 열처리 장치 및 열처리 방법
JP4246416B2 (ja) 急速熱処理装置
JP6358977B2 (ja) 熱処理装置、熱処理方法、及び、プログラム
JP2002130961A (ja) 熱処理装置の校正方法及び熱処理装置の数学モデル生成・校正方法
JP4686887B2 (ja) 成膜方法
WO2004038776A1 (ja) 熱処理装置及び熱処理方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20031111

R150 Certificate of patent or registration of utility model

Ref document number: 3497450

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091128

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121128

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121128

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151128

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term