KR20210057664A - 실리콘 옥사이드를 포함한 구조물을 형성하는 방법 - Google Patents

실리콘 옥사이드를 포함한 구조물을 형성하는 방법 Download PDF

Info

Publication number
KR20210057664A
KR20210057664A KR1020200141861A KR20200141861A KR20210057664A KR 20210057664 A KR20210057664 A KR 20210057664A KR 1020200141861 A KR1020200141861 A KR 1020200141861A KR 20200141861 A KR20200141861 A KR 20200141861A KR 20210057664 A KR20210057664 A KR 20210057664A
Authority
KR
South Korea
Prior art keywords
depositing
reaction chamber
layer
precursor
silane
Prior art date
Application number
KR1020200141861A
Other languages
English (en)
Inventor
히데아키 후쿠다
신야 우에다
카즈히로 키무라
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210057664A publication Critical patent/KR20210057664A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer

Abstract

기판의 표면 상에 증착하는 방법이 개시된다. 예시적인 방법은 주기적 증착 공정을 이용하여 실리콘 옥사이드 재료를 증착하는 단계, 그리고 상기 증착 단계 및 증착후 어닐링 단계 중 하나 이상 동안에 재료를 리플로우하는 단계를 포함한다. 재료의 층을 포함한 구조물이 또한 개시된다.

Description

실리콘 옥사이드를 포함한 구조물을 형성하는 방법{METHOD OF FORMING A STRUCTURE INCLUDING SILICONE OXIDE}
본 개시는, 전자 소자의 제조에 사용하기에 적합한 구조물을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시의 예는 실리콘 옥사이드 층의 형성을 포함하는 방법에 관한 것이다.
반도체 소자와 같은 소자 제조 중에 기판 표면 상의 피처(예, 트렌치 또는 갭)를 절연체 또는 유전체 재료로 충진하는 것이 자주 바람직하다. 피처를 충진하는 일부 기술은, 보로포스포실리케이트 글라스(BPSG)의 증착 및 리플로우를 포함한다.
전자 소자의 제조에서 BPSG를 1970년대 이후로 사용하는 것으로 보고되고 있다. BPSG 막은 대기압 CVD(APCVD), 감압 CVD(RPCVD), 저압 CVD(LPCVD), 플라즈마 강화 CVD(PECVD) 등과 같은 여러 화학 기상 증착(CVD) 기술 중 하나를 사용하여 증착될 수 있다. 일단 증착되면, BPSG 막은, 예를 들어 약 700°C~1000°C의 온도에서, 예를 들어 갭 또는 트렌치를 충진하도록 리플로우될 수 있다.
이러한 기술이 여러 응용 분야에서 잘 작동할 수 있지만, 전통적인 BPSG CVD 증착 기술을 사용하여 피처를 충진하는 경우에, 충진될 피처의 크기가 감소함에 따라 몇몇 단점을 갖는다. 예를 들어, CVD 증착된 BPSG는 비교적 약한 스텝 커버리지를 나타내며, 따라서 증착된 재료 내에 공극을 형성할 수 있다. 이러한 공극은 증착된 재료르 리플로우한 후에도 남을 수 있다. 또한, 공극을 감소시키기 위한 노력으로 비교적 높은 온도 및 긴 어닐링 시간을 사용하여 BPSG 재료를 리플로우시킨다. 또한, CVD 증착된 BPSG의 비교적 높은 막 성장 속도는, nm 수준의 3차원 패턴의 갭을 충진하는데 있어서 BPSG를 일반적으로 부적절하게 만든다. 또한, BPSG 재료에서 하부 층으로의 B와 P의 확산과 하부층 손상이 일부 CVD 증착 기술을 사용하여 야기될 수 있다.
장치 및 피처 크기가 감소함에 따라, 종래의 BPSG 증착 및 리플로우 기술을 제조 공정에 적용하는 것이 점점 어려워진다. 따라서, 구조물을 형성하는 개선된 방법, 특히 구조물의 형성 중에 갭을 충진하는 방법이 요구된다.
이 부분에서 진술된 문제점 및 해결책에 대한 임의의 논의를 포함하여 모든 논의는 단지 본 개시에 대한 맥락을 제공하는 목적으로 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌거나 달리 종래 기술을 구성하고 있음을 인정하는 것으로 받아들여져서는 안 된다.
본 개시의 다양한 구현예는, 소자의 형성에 사용하기 적합한 구조물을 형성하는 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전의 방법과 구조물의 결점에 접근하는 방식을 아래에서 보다 상세히 논의하는 동안, 본 개시의 예시적인 구현예는 기판 표면 상의 피처를 충진하는 개선된 방법을 제공하고/제공하거나, 실리콘과 산소, 예컨대 실리콘과 산소, 그리고 붕소, 인, 및 게르마늄 중 하나 이상을 포함하는 층 또는 막을 형성하기 위한 개선된 방법을 제공한다.
본 개시의 적어도 하나의 구현예에 따라, 기판 표면 상의 하나 이상의 피처 내에 재료를 증착하기 위한 방법은, 상기 하나 이상의 피처를 포함한 기판을 반응 챔버 내에 제공하는 단계, 재료를 증착하는 단계(상기 재료의 화학식은 주기적 증착 공정을 사용하여 상기 하나 이상의 피처 상으로 Si 및 O를 포함함), 및 상기 증착 단계 또는 증착후 어닐링 단계 중 하나 이상 동안에 상기 재료를 리플로우하는 단계를 포함한다. 화학식은 B, P, Ge, Na, C, Al, Mg, Ca, Sr, 및/또는 Ba 중 하나 이상을 추가로 포함한다. 주기적 증착 공정은, 플라즈마 강화 원자층 증착(PEALD) 공정 또는 하이브리드 형태의 PEALD-플라즈마 강화 화학 기상 증착(PECVD) 공정과 같은 플라즈마 강화 주기적 증착 공정을 포함할 수 있다. 상기 리플로우 단계 동안에 상기 반응 챔버 내의 온도는 700℃ 미만 또는 약 400℃ 내지 약 700℃, 예를 들어 약 450℃ 내지 약 600℃일 수 있다. 상기 리플로우 단계는 불활성 가스를 포함한 분위기, 예컨대 불활성 가스로 이루어지거나 불활성 가스와 다른 가스, 가령 산화제(예, 산소)를 포함하는 분위기에서 수행될 수 있다. (예를 들어, 산화제 및/또는 불활성 가스를 포함한 분위기에서의) 상기 리플로우 단계 중에 반응 챔버 내의 압력은 약 0.1 Pa 내지 약 대기압일 수 있다. 상기 방법은, 상기 재료를 증착하는 단계 이전에 실리콘 옥사이드(SiOx)의 층을 증착하는 단계 및/또는 상기 재료를 증착하는 단계 이후에 실리콘 옥사이드(SiOx)의 층을 증착하는 단계를 포함할 수 있다. 추가적으로 또는 대안적으로, 상기 방법은, 상기 재료를 증착하는 단계 이전에 실리콘 나이트라이드(SixNy)의 층을 증착하는 단계 및/또는 상기 재료를 증착하는 단계 이후에 실리콘 나이트라이드(SixNy)의 층을 증착하는 단계를 포함할 수 있다.
본 개시의 적어도 하나의 다른 구현예에 따라, 구조물을 형성하는 방법은, 반응 챔버 내에 기판을 제공하는 단계, 및 재료를 증착하는 단계(상기 재료의 화학식은 주기적 증착 공정을 사용하여 상기 기판 상으로 B, Si, 및 O를 포함함)를 포함한다. 상기 방법은 어닐링하는 단계를 추가로 포함할 수 있다. 상기 어닐링의 단계는 전술하거나 본원의 다른 곳에 기재된 분위기, 압력, 및/또는 온도에서 수행될 수 있다.
본 개시의 추가 예시적인 구현예에 따라, 구조물은 본원에 설명된 방법에 따라 적어도 부분적으로 형성된다.
본 발명은 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 피처 내부에 증착된 재료에 형성된 공극을 포함한 구조물을 나타낸다.
도 2는 본 개시의 적어도 하나의 구현예에 따른 구조물을 나타낸다.
도 3은 본 개시의 적어도 하나의 구현예에 따른 방법을 나타낸다.
도 4는 본 개시의 적어도 하나의 구현예에 따른 추가 구조물을 나타낸다.
도 5 및 도 6은 본 개시의 적어도 하나의 구현예에 따라 형성된 구조물의 주사 투과 전자 현미경(STEM) 이미지를 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로 재료를 증착하는 방법, 구조물을 형성하는 방법, 및 상기 방법을 사용하여 형성된 구조물에 관한 것이다. 예로서, 본원에 기술된 방법은, 절연(예, 유전체) 재료와 같은 재료를 이용해 기판 표면 상의 갭(예, 트렌치 또는 비아)과 같은 피처를 충진하기 위해 사용될 수 있다. 특정 예로서, 재료의 화학식은 Si 및 O를 포함할 수 있다. 이하에서 더욱 상세히 설명되는 바와 같이, 화학식은 추가적으로 질소, 붕소, 인, 게르마늄, 나트륨, 탄소, 알루미늄, 마그네슘, 칼슘, 스트론튬, 및/또는 바륨 중 하나 이상(예, 2개 이상, 3개 이상 등)을 포함할 수 있다.
본 개시에서, "가스"는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 지칭할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함한다. 일부 경우에서, 예컨대 재료의 증착 맥락에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물을 지칭할 수 있고, 특히 막 매트릭스 또는 막의 주 골격을 구성하는 화합물을 지칭할 수 있는 반면, 용어 "반응물"은 일부 경우에서 전구체 이외의 화합물을 지칭할 수 있데, 이는 전구체를 활성화시키거나, 전구체를 개질하거나, 전구체의 반응을 촉진시키고, 반응물은 (O, N, C와 같은) 원소를 막 매트릭스에 제공할 수 있고, 예를 들어 무선 주파수(RF) 전력이 인가되는 경우에 막 매트릭스의 일부가 될 수 있다. 일부 경우에서, 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다. 용어 "불활성 가스"는 상당한 정도로 화학 반응에 참여하지 않고/않거나 RF 전력이 인가될 경우 전구체를 여기시키는 가스를 지칭하나, 반응물과는 달리 상당한 정도로 막 매트릭스의 일부가 될 수 없다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층 또는 벌크 재료의 적어도 일부 내에 또는 그 위에 형성된 다양한 피처, 예컨대 오목부, 비아, 라인 등을 포함할 수 있다. 예로서, 하나 이상의 피처는, 약 10 nm 내지 약 100 nm의 폭, 약 30 nm 내지 약 1000 nm의 깊이 또는 높이, 및/또는 약 3 내지 100 또는 약 3 내지 약 20의 종횡비를 가질 수 있다.
일부 구현예에서, "막"은 두께 방향에 수직인 방향으로 연장되는 층을 지칭한다. 일부 구현예에서, "층"은 표면에 형성된 특정 두께를 갖는 구조물을 지칭하거나, 막 또는 막이 아닌 구조물의 동의어를 지칭한다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다. 층 또는 막은 연속적일 수 있거나 또는 그렇지 않을 수 있다.
본원에서 사용되는 바와 같이, 용어 "실리콘과 산소를 포함하는 층" 또는 "실리콘 옥사이드 층"은 화학식을 실리콘 및 산소를 포함하는 것으로 나타낼 수 있는 층을 지칭할 수 있다. 실리콘 옥사이드를 포함한 층은, 질소, 붕소, 인, 게르마늄, 나트륨, 탄소, 알루미늄, 마그네슘, 칼슘, 스트론튬 및/또는 바륨 중 하나 이상과 같은 다른 원소를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "구조물"은 부분적으로 또는 완전히 제조된 소자 구조물을 지칭할 수 있다. 예로서, 구조물은 그 위에 형성된 하나 이상의 층 및/또는 피처를 갖는 기판을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "주기적 증착 공정"은 기상 증착 공정을 지칭하고, 여기서 증착 사이클은, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 주기적 증착 공정은 주기적 화학 기상 증착(CVD) 및 원자층 증착 공정을 포함할 수 있다. 주기적 증착 공정은, 전구체, 반응물 및/또는 불활성 가스의 플라즈마 활성화를 포함하는 하나 이상의 사이클을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "원자층 증착"(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 일반적으로, 각각의 사이클 중에 전구체는 증착 표면(예, 기판 표면, 또는 이전 ALD 사이클로부터의 재료와 같이 이전에 증착된 하부 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응) 단층 또는 서브 단층을 형성한다. 그 후, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 일반적으로, 이러한 반응물은 전구체와 더 반응할 수 있다. 각각의 사이클 중에 공정 챔버로부터 임의의 과잉 전구체를 제거하고/제거하거나, 화학 흡착된 전구체의 변환 후 공정 챔버로부터 임의의 과잉 반응물 및/또는 반응 부산물을 제거하기 위해 퍼지 단계들이 더 활용될 수도 있다. 추가로, 본원에서 사용된 용어 "원자층 증착"은 전구체 조성(들), 반응 가스, 및 퍼지(예, 불활성 캐리어) 가스의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다. 플라즈마 강화 ALD(PEALD)는 ALD 공정을 지칭할 수 있고, 여기서 플라즈마는 ADL 단계 중 하나 이상 동안에 적용된다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭할 수 있다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
본 개시에서, "연속적으로"는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 재료의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서는 두 개의 구조물 사이에 두 개의 구조물 이외의 분리된 물리적 또는 화학적 구조물이 개입하지 않는 것 중 하나 이상을 지칭할 수 있다.
이제 도면을 보면, 도 1은 구조물(100)을 나타낸다. 구조물(100)은 기판(102)과 실리콘 옥사이드(예, 보로포스포실리케이트 글라스) 막(104)을 포함한다. 기판(102)은 피처(예, 트렌치 또는 비아)(106)를 포함한다. 나타낸 바와 같이, 실리콘 옥사이드 막(104)은 공극(108)을 포함한다. 공극(108)은, 실리콘 옥사이드 막이 비등각성 방식으로-예를 들어 통상적인 CVD 기술을 사용하여 증착될 때, 형성될 수 있다. 고온 어닐링은 공극(108)의 크기를 제거하거나 감소시키기 위해 사용될 수 있다. 그러나, 이러한 고온 공정은 많은 응용 분야에서 바람직하지 않을 수 있다. 구조물(100)은 또한 하부층이 손상된 영역(110)을 포함한다. 하부층이 손상된 영역(110)은, 기판 또는 다른 층에 대한 손상, 예를 들어 이전에 증착된 얇은 실리콘 옥사이드 또는 실리콘 나이트라이드 층을 포함할 수 있다. 하부층이 손상된 영역(110)은, 실리콘 옥사이드 층을 증착하는데 사용될 수 있는 고 전력 플라즈마 공정으로부터 기인할 수 있다.
도 2는 본 개시의 예시적 구현예에 따른 구조물(200)을 나타낸다. 구조물(200)은 기판(202)과 실리콘 옥사이드 층(204)을 포함한다. 구조물(200)은 실리콘 옥사이드 층(204) 아래에 있는 층(206)(예, 옥사이드, 나이트라이드, 또는 옥시나이트라이드, 예컨대 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드) 및/또는 실리콘 옥사이드층(204) 위에 놓인 층(208)(예, 옥사이드, 나이트라이드, 또는 옥시나이트라이드, 예컨대 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드)을 또한 포함할 수 있다.
기판(202)은 기판(102)과 동일하거나 유사할 수 있다. 실리콘 옥사이드 층(204)은 본원에 기재된 방법에 따라 형성될 수 있다. 나타낸 바와 같이, 실리콘 옥사이드 층(204)은 이음매 또는 공극을 포함하지 않는다. 그리고, 구조물(200)은 하부 표면에 비교적 거의 또는 전혀 손상시키지 않고, 예를 들어, 하부층이 손상된 영역에 거의 또는 전혀 손상시키지 않는다.
실리콘 및 산소 이외에, 실리콘 옥사이드 층(204)은 질소, 붕소, 인, 게르마늄, 나트륨, 탄소, 알루미늄, 마그네슘, 칼슘, 스트론튬 및/또는 바륨, 및 특히 B, P, 및 Ge 중 하나 이상을 포함할 수 있다. 예로서, 실리콘 옥사이드 층(204)은 보로포스포실리케이트 글라스(BPSG)일 수 있거나 이를 포함할 수 있다.
도 3은 본 개시의 예시적인 구현예에 따른 방법(예, 재료를 증착하는 방법 및/또는 구조물을 형성하는 방법)을 나타낸다. 방법(300)은, 기판을 제공하는 단계(302), 재료를 증착하는 단계(304), 및 재료를 리플로우하는 단계(306)를 포함한다.
단계(302) 중, 기판은 반응기의 반응 챔버 내에 제공된다. 본 개시의 예에 따라, 반응 챔버는 원자층 증착(ALD) 반응기와 같은 주기적 증착 반응기의 일부를 형성할 수 있다. 방법(300)을 이용하기에 적합한 예시적인 단일 기판 반응기는, ALD 공정을 수행하도록 특별히 설계된 반응기를 포함한다. 예시적인 적절한 배치식 ALD 반응기는 한 번에 다수의 기판을 처리할 수 있다. 방법(300)의 다양한 단계는 단일 반응 챔버 내에 수행될 수 있거나 클러스터 툴의 반응 챔버와 같은 다수의 반응 챔버 내에서 수행될 수 있다. 선택적으로, 반응 챔버를 포함하는 반응기는, 기판 및/또는 반응물/전구체 중 하나 이상의 온도를 상승시킴으로써 반응을 활성화시키기 위한 히터를 구비할 수 있다.
단계(302) 동안, 기판은 원하는 온도로 될 수 있고/있거나 반응 챔버는 단계(304) 동안 원하는 압력, 예컨대 적절한 온도 및/또는 압력이 될 수 있다. 예로서, 반응 챔버 내에서 (예를 들어, 기판 또는 기판 지지부의) 온도는 대략 실온 내지 약 600°C, 또는 약 300°C 내지 약 500°C일 수 있다. 반응 챔버 내의 압력은 약 1 토르 내지 약 30 토르 또는 약 3 토르 내지 약 7 토르일 수 있다.
단계(304) 동안, 실리콘 옥사이드 층이 기판 상에 증착된다. 기판 표면 상에 실리콘 옥사이드 층을 증착하기 위한 예시적인 기술은, ALD 공정 같은 주기적 증착 공정을 포함한다. 일부 구현예에서, 단계(304)는, 주기적 증착 공정, 예컨대 주기적 CVD 또는 ALD 공정을 사용하여 기판/피처 상에 재료 층을 증착하는 단계를 포함한다. 특정 예로서, 재료 층은 PEALD를 사용하여 증착될 수 있다.
예시적인 주기적 또는 PEALD 공정은, 상기 기판을 실리콘 전구체에 노출시키는 단계, 반응 챔버를 퍼지하는 단계, 상기 기판을 반응물(예, 플라즈마-활성화된 반응물)에 노출시키는 단계, 상기 반응 챔버를 퍼지하는 단계, 및 실리콘 옥사이드 층의 초기 원하는 두께가 얻어질 때까지 이들 단계를 반복하는 단계의 하위 단계를 포함할 수 있다. 반응 챔버 및/또는 서셉터의 온도는 단계(302) 동안의 온도와 동일하거나 유사할 수 있다. 유사하게, 반응 챔버 내 압력은 단계(302)와 연결되어 전술된 것일 수 있다.
상기 기판을 실리콘 전구체에 노출시키는 단계는, (디메틸아미노)실란(DMAS), 비스(디메틸아미노)실란(BDMAS), 비스(디에틸아미노)실란(BDEAS), 비스(에틸메틸아미노)실란(BEMAS), 비스(터트부틸아미노)실란(BTBAS), 트리스(디메틸아미노)실란(TDMAS), 테트라키스(디메틸아미노)실란(TKDMAS), 테트라(에톡시)실란(TEOS), 트리스(터트-부톡시)실란올(TBOS), 트리스(터트-펜톡시)실란올(TPSOL), 및 Si(CH3)2(OCH3)2, SiH(CH3)3, Si(CH3)4 중 하나 이상으로 이루어지는 군으로부터 선택된 실리콘 전구체를 반응 챔버에 제공하는 단계를 포함할 수 있다. 실리콘 전구체 공급원으로부터 반응 챔버로의 실리콘 전구체의 유량은, 약 1E-5 몰/초 내지 약 5E-4 몰/초, 약 1E-4 몰/초 내지 약 2E-4 몰/초, 또는 약 1.0E-4 몰/초 내지 약 1.5E-4 몰/초일 수 있다. 상기 기판을 실리콘 전구체에 노출시키는 하위 단계 각각의 지속 시간은 약 0.05초 내지 약 10초, 약 0.1초 내지 약 5초, 또는 약 0.1초 내지 약 1초일 수 있다.
반응 챔버를 퍼지하는 단계는, 반응 챔버에 불활성 가스를 흐르게 하고/하거나 반응 챔버 내에 진공 압력을 제공하는 단계를 포함할 수 있다. 반응 챔버로의 퍼지 가스의 유량은 약 0.1 slm 내지 약 30 slm, 약 1 slm 내지 약 20 slm, 또는 약 5 slm 내지 약 10 slm일 수 있다. 반응 챔버 내의 압력은 단계(302)와 연결되어 전술된 압력과 동일하거나 유사할 수 있다. 퍼지 하위 단계 각각의 지속 시간은 약 0.1초 내지 약 10초, 약 0.2초 내지 약 3초, 또는 약 0.2초 내지 약 1초일 수 있다.
기판을 반응물에 노출하는 하위 단계는, O2, O3, CO2, 및 N2O 중 하나 이상을 반응 챔버에 제공하는 단계를 포함할 수 있다. 반응물 공급원으로부터 반응 챔버로의 반응물의 유량은 약 1 slm 내지 약 20 slm, 약 1 slm 내지 약 10 slm, 또는 약 1 slm 내지 약 3 slm일 수 있다. 상기 기판을 반응물에 노출시키는 하위 단계 각각의 지속 시간은 약 0.05초 내지 약 10초, 약 0.1초 내지 약 5초, 또는 약 0.1초 내지 약 1초일 수 있다. 본 개시의 예시적인 양태에 따라, 반응물 가스(예, 산소 공급원 가스), 가령 산소, 또는 CO2, N2O, O3를 예를 들어, 무선 주파수 및/또는 마이크로파 플라즈마에 노출시킴으로써, 활성화(예, 산소) 종이 형성된다. 직접식 플라즈마 및/또는 원격식 플라즈마가 활성화 종을 형성하기 위해 사용될 수 있다. 일부 경우에, 반응물은 반응 챔버로 연속적으로 흐를 수 있고 반응물은 주기적인 증착 공정을 위해 주기적으로 활성화될 수 있다. 이들 경우, 각각의 사이클에 대한 플라즈마가 켜져 있는 시간은 약 0.02초 내지 약 10초, 약 0.1초 내지 약 5초, 또는 약 0.1초 내지 약 1초일 수 있다.
반복 단계(308)는, 막의 원하는 두께가 얻어질 때까지 여러 번 반복될 수 있다. 또한, 각 단계, 하위 단계, 또는 하위 단계의 하위 집합은 다음 단계로 진행하기 전에 반복될 수 있다.
주기적 CVD의 경우, 반응물 및 전구체는 동시에 반응 챔버 내로 도입될 수 있다. 과잉의 반응물 및/또는 반응 부산물은 본원에 설명된 바와 같이 퍼지될 수 있다. 또한, 하이브리드 CVD/PECVD-ALD/PEALD 공정이 사용될 수 있고, 반응물 및 전구체는 일정 기간 동안 기상으로 반응할 수 있고, 일부 ALD는 일어난다.
단계(304) 동안에, 추가적인 전구체 및/또는 반응물이 반응 챔버에 제공될 수 있다. 예를 들어, 질소, 붕소, 인, 게르마늄, 나트륨, 탄소, 알루미늄, 마그네슘, 칼슘, 스트론튬 및/또는 바륨 중 하나 이상을 포함한 전구체 또는 반응물이 단계(304) 동안에 반응 챔버에 제공될 수 있다. 이들 추가적인 전구체 및/또는 반응물은 다른 전구체 또는 반응물과 같이 흐를 수 있거나, 별도로 반응 챔버에 흐를 수 있다. 예로서, 붕소 전구체는 단계(304) 동안에 반응 챔버에 흐를 수 있다. 붕소 전구체는, 예를 들어 트리메틸보레이트(TMB) 및 트리에틸보레이트(TEB)로 이루어진 군 중 하나 이상 선택될 수 있다. 추가적으로 또는 대안적으로, 인 전구체는 반응 챔버 내로 제공될 수 있다. 인 전구체는, 예를 들어 트리메틸포스페이트(TMPO), 트리메틸포스파이트(TMPI), 트리에틸포스페이트(TEPO), 및 트리에틸포스파이트(TEPI)로 이루어진 군 중 하나 이상 선택될 수 있다. 추가적으로 또는 대안적으로, 게르마늄 전구체는 반응 챔버 내로 제공될 수 있다. 예시적인 게르마늄 전구체는 테트라키스(디메틸아미노)게르마늄을 포함한다. 단계(304) 동안에, 상기 추가적인 전구체와 반응물의 조합이 반응 챔버에 제공될 수 있다.
본 개시의 일부 구현예에 따라, 붕소, 인, 게르마늄 등 중 하나 이상의 농도는, 예를 들어 Si 공급원, B 공급원, 및 P 공급원의 공급 횟수의 비율을 제어함으로써 미세 조정될 수 있다. 예를 들어, Si 및 B 및 P의 공급 횟수의 비율이 1 : 0 : 0인 경우, 순수한 SiOx가 증착된다. 증착된 재료는 불활성 분위기 하에서 450℃ 초과로 후-어닐링될 수 있고, 결과적으로 막은 리플로우하고 갭 필이 달성된다. B2O3-SiO2 시스템의 공정(eutectic) 온도는 438℃이고, 후-어닐링(리플로우) 온도는 438℃ 초과 또는 450℃ 초과일 수 있다.
일단 원하는 양의 재료가 단계(304) 동안 증착되면, 재료는 리플로우될 수 있다. 별도로 나타냈지만, 단계(306)는 단계(304) 동안에 발생할 수 있다. 단계(304 및 306)가 적어도 부분적으로 분리되면, 단계(304 및 306)는 동일한 반응 챔버 또는 상이한 반응 챔버에서 수행될 수 있다.
본 개시의 다양한 구현예에 따라, 단계(306) 동안에 반응 챔버 내 온도는 700°C 미만이거나 약 400°C 내지 약 700°C이고, 600°C 미만이거나 약 400°C 내지 약 600°C이거나, 약 450°C 내지 약 600°C이거나, 약 400°C 내지 약 650°C이다. 단계(306) 동안에 반응 챔버 내 압력은 약 0.1 Pa 내지 대략 대기압, 약 1E2 Pa 내지 약 1E5 Pa, 또는 약 1E3 Pa 내지 약 1E5 Pa일 수 있다.
단계(306) 동안, 반응 챔버 내의 분위기는 불활성 가스를 포함할 수 있다. 일부 경우에, 분위기는 산소와 같은 산화제를 포함할 수도 있다. 이들 경우에, 분위기는 불활성 가스에서 약 0.1% 내지 약 100%, 약 1% 내지 약 100%의 산화제를 포함할 수 있다. 불활성 가스의 유량은 약 0.01 slm 내지 약 30 slm, 또는 약 1 slm 내지 약 10 slm의 범위일 수 있다. 단계(306) 동안, 산화제의 유량은 약 0.01 slm 내지 약 10 slm, 약 0.01 slm 내지 약 1 slm의 범위일 수 있다.
별도로 나타내지 않았지만, 방법(300)은, 재료(304)를 증착하는 단계 이전에 실리콘 옥사이드(SiOx) 층을 증착하는 단계, 상기 재료를 증착하는 단계 이후에 실리콘 옥사이드(SiOx) 층을 증착하는 단계, 재료(304)를 증착하는 단계 이전에 실리콘 나이트라이드(SixNy) 층을 증착하는 단계, 상기 재료를 증착하는 단계 이후에 실리콘 나이트라이드(SixNy) 층을 증착하는 단계, 재료(304)를 증착하는 단계 이전에 실리콘 옥시나이트라이드 층을 증착하는 단계, 및/또는 재료(304)를 증착하는 단계 이후에 실리콘 옥시나이트라이드 층을 증착하는 단계 중 하나 이상을 포함할 수 있다. 옥사이드, 나이트라이드, 및/또는 옥시나이트라이 층은 ALD 공정 같은 주기적 증착 공정을 이용하여 증착될 수 있다. 또한, 단계(304) 이후에 층이 증착될 때, 이러한 층은 단계(306) 이전 또는 이후에 증착될 수 있다.
도 4는 단계(304, 306) 동안에 각각 형성될 수 있는 구조물(402, 404)을 나타낸다. 구조물(402)은, 예를 들어 본원에서 설명된 임의의 기판 재료를 포함할 수 있는 기판(406)을 포함한다. 실리콘 옥사이드 층(408)은, 예를 들어 방법(300)의 단계(304)를 사용하여 기판(406) 상에 증착된다. 재료 증착 단계(304) 및 재료 리플로우 단계(306) 중 하나 이상 동안에(예를 들어, 증착후 어닐링 단계), 실리콘 옥사이드 층은 흘러 유동 실리콘 옥사이드 층(410)을 형성한다. 원하는 두께로 증착되고 흐르는 재료가 얻어질 때까지, 단계(304 및 306)는 기판(406) 내의 피처(412)를 충진하기 위해 반복될 수 있다.
도 5 및 도 6은 패터닝된 기판 상으로 증착된 실리콘 옥사이드(예, BPSG) 막의 주사 투과 전자 현미경 이미지를 나타낸다. 실리콘 옥사이드 막을 방법(300)에 따라 증착하고 리플로우하였다. 나타낸 바와 같이, 리플로우된 재료는 이음매 또는 공극을 전혀 포함하지 않는다. 나타낸 실시예에서, 피처의 종횡비는 약 3 내지 약 4의 범위이고 피처의 개구는 약 15 nm이다.
본 개시의 다양한 실시예는 개선된 방법 및 구조물을 제공한다. 개선의 예는 다음을 포함한다:
비교적 낮은 리플로우 온도 때문에, 예시적인 방법을 반도체 공정의 전단 라인 공정(front-end-of-line)에 사용할 수 있다. 예시적인 방법은 패터닝된 기판 상에 높은 등각성의 실리콘 옥사이드(예, BPSG) 막을 증착할 수 있어서, 감소된 리플로우 양은 갭 필링에 사용될 수 있고, 따라서 후-어닐링 온도 및 시간을 크게 감소시킬 수 있다.
초기 등각성 증착으로 인해, 공극이 없는 갭 필을 고 AR 패턴 상에-예를 들어 2, 5, 또는 약 3 내지 약 50보다 큰 종횡비를 갖는 상부 피처 상에 달성할 수 있다.
분위기 내에서 화학적으로 불안정한 BPSG로 인한 BPSG 갭 필 공정의 부식 문제점을 상당히 완화시키거나 심지어 제거할 수 있다.
구조물은 실리콘 옥사이드, 나이트라이드, 및/또는 옥시나이트라이드 층을 포함할 수 있고, 이는 등각성의 주기적 공정을 이용하여 증착될 수 있다. 따라서, BPSG의 증착을 감소시킬 수 있다.
증착 단계 동안에 발생할 수 있는 하부층 손상을 억제할 수 있다. 실리콘 옥사이드, 나이트라이드, 및/또는 옥시나이트라이드 층의 초기 층은, 예를 들어 PEALD에 의해 높은 등각성으로 패턴 상에 증착될 수 있고, 이러한 층은, 그렇지 않으면 BPSG 재료의 증착 동안 발생할 수도 있는 플라즈마 손상을 억제할 수 있다.
실리콘 옥사이드 층에서 하부층으로의 B(및/또는 다른 원소)의 확산을 감소시킬 수 있다.
패턴의 왜곡을 억제할 수 있다. BPSG의 증착이 최소일 수 있고 막의 대부분 실리콘 옥사이드, 실리콘 나이트라이드 등으로 구성될 수 있기 때문에, BPSG 막의 응력을 감소시킬 수 있다. 후-어닐링 온도 및 시간이 감소될 수 있고, 따라서 후-어닐링 동안 왜곡이 억제된다.
PEALD 및 PECVD 하이브리드 공정이 수행될 수 있으며, 이는 원하는 갭 필 특성, 높은 실행 속도 및/또는 낮은 화학물질 소비를 달성할 수 있다. 예를 들어, PEALD는 갭 필의 일부에만 사용될 수 있고, 다른 부분은 PECVD일 수 있다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (31)

  1. 기판 표면 상의 하나 이상의 피처 내에 재료를 증착하기 위한 방법으로서, 상기 방법은,
    상기 하나 이상의 갭 피처를 포함한 기판을 반응 챔버에 제공하는 단계;
    재료를 증착하는 단계(상기 재료의 화학식은 주기적 증착 공정을 사용하여 상기 하나 이상의 피처 상에 실리콘과 산소를 포함함); 및
    상기 증착 단계 및 증착후 어닐링 단계 중 하나 이상 동안에 상기 재료를 리플로우 하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 주기적 증착 공정은 플라즈마 강화 주기적 증착 공정을 포함하는, 방법.
  3. 제1항에 있어서, 상기 주기적 증착 공정은 플라즈마 강화 원자층 증착(PEALD) 공정을 포함하는, 방법.
  4. 제1항에 있어서, 상기 리플로우 단계 동안의 온도는 700℃ 미만이거나 약 400℃ 내지 약 700℃인, 방법.
  5. 제4항에 있어서, 상기 온도는 약 450°C 내지 약 600°C인, 방법.
  6. 제1항에 있어서, 상기 피처의 종횡비는 2 이상이거나 5 이상인, 방법.
  7. 제6항에 있어서, 상기 종횡비는 약 3 내지 약 50인, 방법.
  8. 제1항에 있어서, 상기 리플로우 단계는 불활성 가스를 포함한 분위기에서 수행되는, 방법.
  9. 제8항에 있어서, 상기 리플로우 단계는 불활성 가스와 산화제를 포함한 분위기에서 수행되는, 방법.
  10. 제1항에 있어서, 상기 리플로우 단계 동안 상기 반응 챔버 내의 압력은 약 0.1 Pa 내지 대략 대기압인, 방법.
  11. 제1항에 있어서, 상기 화학식은 B, P, 및 Ge 중 하나 이상을 추가로 포함하는, 방법.
  12. 제11항에 있어서, 상기 재료는 보로포스포실리케이트 글라스(BPSG)를 포함하는, 방법.
  13. 제1항에 있어서, 상기 재료를 증착하는 단계 이전에 실리콘 옥사이드(SiOx) 층을 증착하는 단계를 추가로 포함하는 방법.
  14. 제1항에 있어서, 상기 재료를 증착하는 단계 이후에 실리콘 옥사이드(SiOx) 층을 증착하는 단계를 추가로 포함하는 방법.
  15. 제1항에 있어서, 상기 재료를 증착하는 단계 이전에 실리콘 나이트라이드(SixNy) 층을 증착하는 단계를 추가로 포함하는 방법.
  16. 제1항에 있어서, 상기 재료를 증착하는 단계 이후에 실리콘 나이트라이드(SixNy) 층을 증착하는 단계를 추가로 포함하는 방법.
  17. 제1항에 있어서, 상기 재료를 증착하는 단계는 하이브리드 형태의 PEALD-플라즈마 강화 화학 기상 증착(PECVD) 공정을 포함하는, 방법.
  18. 제1항에 있어서, 상기 재료를 증착하는 단계 동안에, 실리콘 전구체가 상기 반응 챔버에 제공되는, 방법.
  19. 제18항에 있어서, 상기 실리콘 전구체는, (디메틸아미노)실란(DMAS), 비스(디메틸아미노)실란(BDMAS), 비스(디에틸아미노)실란(BDEAS), 비스(에틸메틸아미노)실란(BEMAS), 비스(터트부틸아미노)실란(BTBAS), 트리스(디메틸아미노)실란(TDMAS), 테트라키스(디메틸아미노)실란(TKDMAS), 테트라(에톡시)실란(TEOS), 트리스(터트-부톡시)실란올(TBOS), 트리스(터트-펜톡시)실란올(TPSOL), 및 Si(CH3)2(OCH3)2, SiH(CH3)3, Si(CH3)4 중 하나 이상으로 이루어진 군 중 하나 이상 선택되는, 방법.
  20. 제1항에 있어서, 상기 재료를 증착하는 단계 동안에, 붕소 전구체가 상기 반응 챔버에 제공되는, 방법.
  21. 제20항에 있어서, 상기 붕소 전구체는 트리메틸보레이트(TMB) 및 트리에틸보레이트(TEB)로 이루어진 군 중 하나 이상 선택되는, 방법.
  22. 제1항에 있어서, 상기 재료를 증착하는 단계 동안에, 인 전구체가 상기 반응 챔버에 제공되는, 방법.
  23. 제22항에 있어서, 상기 인 전구체는 트리메틸포스페이트(TMPO), 트리메틸포스파이트(TMPI), 트리에틸포스페이트(TEPO), 및 트리에틸포스파이트(TEPI)로 이루어진 군 중 하나 이상 선택되는, 방법.
  24. 제1항에 있어서, 상기 재료를 증착하는 단계 동안에, 게르마늄 전구체가 상기 반응 챔버에 제공되는, 방법.
  25. 제24항에 있어서, 상기 게르마늄 전구체는 테트라키스(디메틸아미노)게르마늄으로 이루어진 군으로부터 선택되는, 방법.
  26. 제1항에 있어서, 상기 재료를 증착하는 단계 동안에, 반응물이 제공되는, 방법.
  27. 제26항에 있어서, 반응물 활성 종은 원격식 플라즈마와 직접식 플라즈마 중 하나 이상을 사용하여 상기 반응물로부터 형성되는, 방법.
  28. 제1항에 있어서, 상기 화학식은 질소, 붕소, 인, 게르마늄, 나트륨, 탄소, 알루미늄, 마그네슘, 칼슘, 스트론튬 및/또는 바륨 중 하나 이상을 추가로 포함하는, 방법.
  29. 구조물을 형성하는 방법으로서, 상기 방법은,
    기판을 반응 챔버에 제공하는 단계; 및
    재료를 증착하는 단계(상기 재료의 화학식은 주기적 증착 공정을 사용하여 상기 기판 상에 B, Si, 및 O를 포함함)를 포함하는, 방법.
  30. 제29항에 있어서, 상기 재료를 700°C 미만의 온도에서 어닐링하는 단계를 추가로 포함하는 방법.
  31. 제1항 내지 제30항 중 어느 한 항의 방법에 따라 형성된 구조물.
KR1020200141861A 2019-11-11 2020-10-29 실리콘 옥사이드를 포함한 구조물을 형성하는 방법 KR20210057664A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962933693P 2019-11-11 2019-11-11
US62/933,693 2019-11-11

Publications (1)

Publication Number Publication Date
KR20210057664A true KR20210057664A (ko) 2021-05-21

Family

ID=75847045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200141861A KR20210057664A (ko) 2019-11-11 2020-10-29 실리콘 옥사이드를 포함한 구조물을 형성하는 방법

Country Status (3)

Country Link
US (1) US20210143003A1 (ko)
KR (1) KR20210057664A (ko)
TW (1) TW202118891A (ko)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1025583B1 (en) * 1997-09-30 2006-06-14 Infineon Technologies AG Improved gapfill of semiconductor structure using doped silicate glasses with multi-step deposition/anneal process
US20030019427A1 (en) * 2001-07-24 2003-01-30 Applied Materials, Inc. In situ stabilized high concentration BPSG films for PMD application
US9455136B2 (en) * 2015-01-23 2016-09-27 Infineon Technologies Austria Ag Controlling the reflow behaviour of BPSG films and devices made thereof

Also Published As

Publication number Publication date
TW202118891A (zh) 2021-05-16
US20210143003A1 (en) 2021-05-13

Similar Documents

Publication Publication Date Title
US20210143003A1 (en) Method of forming a structure including silicon oxide
US20200385859A1 (en) Method of depositing silicon oxide films
US11476109B2 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US9960033B1 (en) Method of depositing and etching Si-containing film
EP1641958B1 (en) Methods of forming a phosphorus doped silicon dioxide layer
US8784951B2 (en) Method for forming insulation film using non-halide precursor having four or more silicons
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US20160196970A1 (en) Methods for forming doped silicon oxide thin films
US7129189B1 (en) Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20220005693A1 (en) Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
US20200263297A1 (en) Deposition of oxides and nitrides
KR20190061877A (ko) 박막 증착 방법
US20170107615A1 (en) Gas-phase deposition process
KR20220045900A (ko) 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
TW202208665A (zh) 用於填充半導體基板上之三維結構中的間隙之方法
CN110832109B (zh) 氮含量高的氮化硅膜
US20220319832A1 (en) Method and system for depositing silicon nitride with intermediate treatment process
US11970769B2 (en) Cyclical deposition methods
US20230017874A1 (en) Cyclical deposition methods and structures formed using the methods
US20230142899A1 (en) Thin-film deposition method and system
US20230126516A1 (en) Methods and systems for forming doped silicon nitride films
US20220319831A1 (en) Method and system for forming silicon nitride layer using low radio frequency plasma process
US20230089397A1 (en) Air gap forming method and selective deposition method
US20240145236A1 (en) Substrate processing method
US20220267903A1 (en) Methods of forming phosphosilicate glass layers, structures formed using the methods and systems for performing the methods

Legal Events

Date Code Title Description
A201 Request for examination