JPH11354637A - 配線の接続構造及び配線の接続部の形成方法 - Google Patents

配線の接続構造及び配線の接続部の形成方法

Info

Publication number
JPH11354637A
JPH11354637A JP10163304A JP16330498A JPH11354637A JP H11354637 A JPH11354637 A JP H11354637A JP 10163304 A JP10163304 A JP 10163304A JP 16330498 A JP16330498 A JP 16330498A JP H11354637 A JPH11354637 A JP H11354637A
Authority
JP
Japan
Prior art keywords
wiring
film
forming
layer
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10163304A
Other languages
English (en)
Inventor
Yusuke Harada
裕介 原田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=15771292&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH11354637(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP10163304A priority Critical patent/JPH11354637A/ja
Priority to US09/329,249 priority patent/US6400031B1/en
Publication of JPH11354637A publication Critical patent/JPH11354637A/ja
Priority to US10/127,599 priority patent/US6759747B2/en
Priority to US10/397,369 priority patent/US7777337B2/en
Priority to US11/010,315 priority patent/US7126222B2/en
Priority to US11/010,339 priority patent/US7176577B2/en
Priority to US12/829,633 priority patent/US8786087B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 エレクトロマイグレーションにより配線を構
成する金属原子が移動しても長い期間導通状態が良好で
あり、接続信頼性に優れた配線の接続構造及びその製造
方法を提供する。 【解決手段】 第2の配線28の突状の接続部が、第1
の配線18の接続部の貫通孔内に入り込むと共に、第1
の配線18の接続部の開口の対向する長辺の一部を含む
領域に接続して、貫通孔内に形成された接続部用のTi
N膜16aと接続部用のTi膜17aとを介して第1の
配線の主配線部19と電気的に接続すると共に、貫通孔
の開口部に露出するTi膜17aとTiN膜16aの端
部及びその近傍の主配線部19にも直接接続する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、配線の接続構造
及び配線の接続部の形成方法に関し、特に、下層側に配
置された配線が溝埋め込み配線である場合の配線の接続
構造及び配線の接続部の形成方法に関するものである。
【0002】
【従来の技術】近年、LSIの高集積化に伴い、半導体
素子の微細パターン化が進んでおり、Cuなどの低抵抗
配線の必要性が高くなってきている。一般に、Cu配線
はエッチング技術によってパターン形成することが難し
いため、Cu配線を形成するために、半導体基板上にS
iO2 膜やBPSG膜などの絶縁膜を形成し、この絶縁
膜に配線の型となる配線パターンの溝を形成し、このパ
ターン溝内にCuなどの低抵抗材料よりなる金属を埋め
込んで配線とした溝埋め込み配線(以後、ダマシン(Da
mascene )配線と記す。)が利用されている。
【0003】このようなダマシン配線の接続部は、図2
1に示すように形成される。まず、半導体基板10上に
1μm程度の厚さに形成した第1SiO2 膜12に、公
知のホトリソグラフィ技術とエッチング技術とにより第
1の配線パターン溝を形成する。その後、第1の配線パ
ターン溝内にTiNより成るバリア層16を形成したの
ち、Cuをスパッタリングにより全面に堆積した後、コ
ロイダルシリカのアルカリ溶液による研磨、すなわち、
CMP(chemical mechanical polishing) 法によって表
面のCu膜を取除き、Cuよりなる主配線部19を有す
る第1の配線18を形成する(図21(a))。
【0004】次に、第1の配線18が形成された第1S
iO2 膜12上に再びSiO2 膜を1μm程度の厚さに
形成して第2SiO2 膜22を形成した後、第1の配線
18の上面のほぼ中央部が露出するように絶縁膜に第2
の配線の接続部の型となるスルーホール55を形成する
(図21(b))。
【0005】その後、スルーホール55を含むように第
2の配線パターン溝24を形成し、第1の配線18パタ
ーン溝内にTiN膜より成るバリア層26を形成したの
ち(図21(c))、Cuをスパッタリングにより全面
に堆積した後、CMP法によって表面のCu膜を取除
き、Cuよりなる主配線部29を有する第2の配線28
を形成する(図21(d))。
【0006】
【発明が解決しようとする課題】しかしながら、このよ
うなダマシン配線の接続部は、第2の配線の接続部が第
1の配線の上面に露出する主配線部に直接接続する構成
であるため、第2の配線から第1の配線に電子が流れる
ように通電を行うと、エレクトロマイグレーションによ
って第1の配線の主配線部を構成するCuが、電子の流
れの上流側、すなわち、第2の配線と接続する位置側か
ら移動してしまい、その部分がボイドとなってしまう。
【0007】このボイドは、時間の経過に連れて大きく
なり、最終的には第1の配線と第2の配線との接続部分
全体にまで広がって、第1の配線と第2の配線との導通
を遮断する。そのため、ダマシン多層配線は、長い期間
良好な接続状態を維持できず、接続信頼性に乏しいとい
う問題がある。
【0008】そこで、本発明は、エレクトロマイグレー
ションにより配線を構成する金属原子が移動しても長い
期間導通状態が良好であり、接続信頼性に優れた配線の
接続構造及びその形成方法を提供することを目的として
いる。
【0009】
【課題を解決するための手段】上記目的を達成するため
に、請求項1の発明の配線の接続構造は、貫通孔を備え
た主配線部に、該主配線部の貫通孔内を含む上面以外の
面に低抵抗の導電性材料からなる導通層とバリヤ層とを
順に備えた溝埋め込み式の第1の配線に、前記第1の配
線の上層に設けられる第2の配線の接続部が、前記貫通
孔の内面に設けられた導通層と前記貫通孔の内面に設け
られたバリヤ層との少なくとも1つを含む領域に接続す
るように設けられている。
【0010】請求項1の発明では、第1の配線が上面以
外の面に低抵抗の導電性材料からなる導通層とバリヤ層
とを順に備えており、これら導通層とバリヤ層との少な
くとも一方が第1の配線の上層に設けられる第2の配線
の接続部と接続されるため、エレクトロマイグレーショ
ンにより第2配線と接続する接続部の主配線部内にボイ
ドが形成されて第1の配線の主配線部分と第2配線との
接続が遮断されても、導通層がバイパスとなって第2の
配線の接続部と第1の配線の主配線部との接続を維持す
るため、電気の流れが遮断するのを防ぐことができる。
従って、従来よりも長い期間導通を維持できる配線の接
続構造となる。
【0011】また、第2の配線の接続部は、第1の配線
の主配線部を貫通する貫通孔位置で第1の配線に接続す
る構成であるため、第1の配線と第2の配線とを接続す
るための接続部分の幅を接続のために広げる必要がな
い。そのため、配線の高密度化が実現できる。
【0012】また、請求項2の発明は、請求項1に記載
の配線の接続構造において、前記第2の配線の接続部
は、前記貫通孔内に入り込んでいることを特徴としてい
る。このような構成とすることにより、第1の配線と第
2の配線とが確実に接続されるので接続精度の向上した
配線の接続構造となる。好ましくは、第2配線の接続部
を第1の配線の貫通孔の内面と接触するように構成すれ
ば、接続面積が大きくなり導通層によるバイパス形成領
域が広がるため、接触抵抗を小さくなる。そのため、ス
ルーホール抵抗が低くなり、配線の接続構造の微細化の
ためにスルーホールを小さくしても信頼性にの高いもの
となる。従って、エレクトロマイグレーション耐性の向
上した配線の接続構造となる。
【0013】更に、請求項3の発明は、請求項1又は2
に記載の配線の接続構造において、前記貫通孔は、長手
方向が電子の流れる方向と平行に形成された長尺状の開
口部を備えている。
【0014】エレクトロマイグレーションによって主配
線部内に形成されるボイドは、電子の流れの上流側から
発生し、時間の経過に連れて電子の流れる方向に沿って
拡大していく。請求項3の発明では、開口部の長手方向
を電子の流れる方向に沿った構成とすることにより、ボ
イドが形成され始めてから貫通孔の周辺すべてを含む大
きさに成長して貫通孔の周辺の主配線部がなくなるまで
の時間が長くなる。そのため、より高く接続信頼性を維
持できると共に、電流の集中によるさらなるエレクトロ
マイグレーションの発生を抑えることができる。
【0015】また、請求項4の発明は、主配線部の上面
以外の面に低抵抗の導電性材料からなる導通層とバリヤ
層とを順に備えた溝埋め込み式の第1の配線に、該第1
の配線の上層に設けられた第2の配線の接続部が、前記
第1の配線の表面に露出した導通層の端部と、第1の配
線の表面に露出したバリヤ層の端部と、第1の配線の側
面側のバリヤ層の一部との少なくとも1つを含む領域に
接続する。
【0016】このような構成とすることにより、エレク
トロマイグレーションにより第2配線と接続する接続部
の主配線部内にボイドが形成されて第1の配線の主配線
部分と第2配線との接続が遮断されても、導通層が電流
バイパスとして機能し、第2の配線の接続部と第1の配
線の主配線部との接続を維持するため、電気の流れが遮
断するのを防ぐことができる。また、電流が広く分散し
て流れるようになるので電流の集中によるさらなるエレ
クトロマイグレーションの発生を抑えることができる。
【0017】請求項5の発明は、請求項1〜4に記載の
配線の接続構造において、前記バリヤ層は、高融点金属
の窒化物から構成され、前記導通層は、高融点金属と高
融点金属のシリサイド化合物の何れか一方から構成され
ている。
【0018】また、請求項6の発明の配線の接続部の形
成方法は、第1の絶縁層に設ける第1の配線の形成予定
領域内の予め定めた一部領域にマスクをした状態でエッ
チングした後マスクを取除いて、溝内に絶縁層を突状に
残した第1の配線パターン溝を形成し、前記第1の配線
パターン溝の内面に、バリヤ層と、低抵抗の導電性材料
からなる導通層とを順に形成してから、導電性材料から
なる主配線材料を埋め込んで第1の配線を形成し、前記
第1の配線が形成された前記第1の絶縁層上に第2の絶
縁層を形成し、前記突状に残した絶縁層の外側面に設け
られた導通層とバリア層との少なくとも一方が露出する
ように第2の絶縁層にスルーホールを形成し、該スルー
ホール内に導電性材料を埋め込んで第2の配線と接続す
る接続部を形成する。このような方法とすることにより
上記請求項1の配線の接続構造を容易に得ることができ
る。
【0019】また、請求項7の発明の配線の接続部の形
成方法は、第1の絶縁層に第1の配線パターン溝を形成
し、前記第1の配線パターン溝の内面に、バリヤ層と、
低抵抗の導電性材料からなる導通層とを順に形成してか
ら、導電性材料からなる主配線材料を埋め込んで第1の
配線を形成し、第1の配線が形成された前記第1の絶縁
層上に第2の絶縁層を形成し、前記第1の配線パターン
溝の内面に設けられたバリヤ層と導通層との少なくとも
一方が露出するように第2の絶縁層にスルーホールを形
成し、該スルーホール内に導電性材料を埋め込んで第2
の配線と接続する接続部を形成する。このような方法と
することにより上記請求項4の配線の接続構造を容易に
得ることができる。
【0020】また、請求項8の発明は、請求項6又は7
に記載の配線の接続部の形成方法において、第1の絶縁
層の形成中に、第1の絶縁層を形成する絶縁性材料とエ
ッチング条件の異なる絶縁性材料を用いてエッチングス
トップ用絶縁層を設け、さらにその上に前記第1の絶縁
層を形成する絶縁性材料または前記第1の絶縁層を形成
する絶縁性材料と同じエッチング条件の絶縁性材料を第
1の配線パターン溝の深さと同じ厚さに形成してから、
第1の配線パターン溝を形成する。
【0021】このような方法とすることにより、第1の
絶縁層のの上層に配線パターン溝などを形成する際にオ
ーバーエッチングとなってもエッチングストップ用絶縁
層によりそれ以上のエッチングの進行が阻止されるの
で、常に同じ深さの溝を精度よく形成でき、下地層への
突き抜けを防ぐことができる。
【0022】さらに、請求項9の発明は、請求項6〜8
のいずれかに記載の配線の接続部の形成方法において、
前記バリヤ層は、高融点金属の窒化物から構成され、前
記導通層は、高融点金属と高融点金属のシリサイド化合
物の何れか一方から構成されていることを特徴とする。
【0023】
【発明の実施の形態】以下、本発明の実施の形態を図1
〜図20を参照して説明する。すべての実施形態におい
て、電子の流れeは、上層側の第2の配線28から下層
側の第1の配線18に向かうものとしている。
【0024】(第1の実施形態)図1〜図7を参照して
第1の実施形態を説明する。図1(a)に示す上面図で
は説明をわかり易くするため、第1の配線18を実線で
示し、第2の配線28は点線で示し、第1の配線18が
形成された第1SiO2 膜12は一点鎖線で示し、第2
の配線28が形成された第2SiO2 膜22は省略して
いる。また、本第1の実施形態では下層の絶縁膜に設け
る第1の配線18として、比較的幅広の配線を用いてい
る。
【0025】図1(a)及び図1(b)に示すように、
第1の配線18は、第2の配線28との接続部が中央よ
りも側面寄りに設けられたCuから成る主配線部19
と、主配線部19の上面以外のすべての面に、導通層と
してTi膜17と、バリア層としてTiN膜16とを備
えた溝埋め込み配線である。
【0026】第1の配線18の接続部は、第1配線18
の上面以外の面に形成されたTi膜17と繋がる接続部
用のTi膜17aと、この接続部用のTi膜17aのバ
リア層として接続部用のTiN膜16aと、を内面に備
え、開口の長辺が電子の流れる方向eに沿って配置され
た開口形状が長方形の貫通孔である。
【0027】また、第2の配線28は、下面側に突状の
接続部が設けられたCuから成る主配線部29と、第1
の配線と同様に主配線部29の上面以外のすべての面
に、導通層としてTi膜27と、バリア層としてTiN
膜26とを備えている。
【0028】第2の配線28の突状の接続部は、第1の
配線の接続部の貫通孔内に入り込むと共に、第1の配線
18の接続部の開口の対向する長辺の一部を含む領域に
接続して、貫通孔内に形成された接続部用のTiN膜1
6aと接続部用のTi膜17aとを介して第1の配線の
主配線部19と電気的に接続すると共に、貫通孔の開口
部に露出するTi膜17aとTiN膜16aの端部及び
その近傍の主配線部19にも直接接続する。
【0029】このような接続構造を有する配線におい
て、第1の配線18が高電位、第2の配線28が低電位
となるように電圧を印加すると、電子は第2の配線28
から第1の配線18に流れる。このとき、第1の配線1
8の主配線部19を構成するCu原子のうち電子の流れ
の上流側、すなわち、第2の配線28との接続部側のC
u原子がエレクトロマイグレーションよって電子の流れ
とともに移動する。
【0030】そのため、時間の経過とともに第1の配線
18の接続部近傍の主配線部19にボイドが形成される
が、第2の配線28の接続部は、導通用に設けられた接
続部用のTi膜17aにも直接接続しているため、この
Ti膜17aによって第1の配線と第2の配線との電気
的接続が維持されることとなる。したがって、エレクト
ロマイグレーションにより主配線部19内にボイドが形
成されても長い期間導通状態を良好に維持することがで
き、接続信頼性の高い配線の接続構造となる。
【0031】このような配線の接続構造を製造する方法
を図2〜図7を参照して以下に説明する。まず、半導体
基板10上に第1の絶縁膜である第1SiO2 膜12を
1μmの厚さに形成する。
【0032】その後、第1SiO2 膜12の表面に図示
しないレジストを塗布してホトリソグラフィ技術により
第1の配線パターン以外の部分と第1の配線内に設けら
れる第1の配線の接続部となる領域とにレジストを残
し、これをマスクとしてC2 8 ガスとO2 ガスとの混
合ガスを用いたプラズマエッチングにより深さ500n
m程度の溝を形成する。その後、レジストを除去し、予
め定めた接続部位置に突状のSiO2 膜12aが残留し
た第1の配線パターン溝14を得る(図2参照)。
【0033】次に、第1の配線パターン溝14が形成さ
れた第1SiO2 膜12の表面にCVD法などによって
TiN膜16を30nm程度形成した後、Ti膜17を
5nm形成する。その後、CMP法により第1のSiO
2 膜12の表面が露出するまで表面を研磨して、配線パ
ターン溝14の内面にTi膜17とTiN膜16とを順
に形成する。このとき、予め定めた接続部位置に設けた
突状のSiO2 膜12aの側面にもTi膜17aとTi
N膜16aとが順に形成される。
【0034】その後、第1SiO2 膜12の表面にスパ
ッタ法によって第1の配線パターン溝14が埋まるまで
Cuを形成した後、CMP法により第1のSiO2 膜1
2の表面が露出するまで研磨する。これにより、第1配
線パターン溝14内にCuが埋め込まれて第1の配線1
8が形成される。この第1の配線18は、予め定めた接
続部位置に、側面にTi膜17aとTiN膜16aとが
形成された突状のSiO2 膜12aが貫通したものとな
る(図3参照)。
【0035】第1の配線18の形成後、第2の絶縁膜で
ある第2SiO2 膜22を1μmの厚さに形成する。そ
の後、第1の配線の主配線19内の突状のSiO2 膜1
2aと、突状のSiO2 膜12aの周囲に形成されたT
i膜17aの端部とTiN膜16aの端部とを含む大き
さで、かつ、深さが第1の配線18の厚さの半分程度ま
での孔50をC2 8 ガスとO2 ガスとの混合ガスを用
いてプラズマエッチングにより形成する。第1の配線1
8の厚さの半分程度までの深さに形成する。
【0036】SiO2 膜のエッチング条件では、主配線
部を構成するCuとTi膜17とTiN膜16はエッチ
ングされないため、エッチング深さが第2SiO2 膜2
2の厚さよりも深くなると、第1の配線内の突状の絶縁
膜12aのみが削られてTi膜17aとTiN膜16a
の端部と、TiN膜16aの側面、突状の絶縁膜12a
近傍の主配線部の上面が露出することとなる(図4参
照)。
【0037】その後、前記孔50と接続するように、第
2の配線のパターンをリソグラフィ技術により形成した
後、C2 8 ガスとO2 ガスとの混合ガスを用いたプラ
ズマエッチングによって第2の配線28の型となる深さ
500nm程度の第2の配線パターン溝24を第2Si
2 膜22に形成する(図5参照)。得られた第2の配
線パターン溝24内に第1の配線の形成時と同様の工程
で内面にTiN膜26とTi膜27とを順に形成し、T
iN膜26とTi膜27とを備えた第2の配線パターン
溝24を得る(図6参照)。
【0038】さらに、第2SiO2 膜22の表面にスパ
ッタ法やCVD法などによって第2の配線パターン溝2
4が埋まるまでCuを形成した後、CMP法により第2
のSiO2 膜22の表面が露出するまで表面を研磨す
る。これにより、第1の配線の接続部用のTi膜17a
の端部と、接続部用のTiN膜16aの端部と、接続部
用のTiN膜16aの側面とに接続する突状の接続部を
備えた第2の配線28が得られる(図7参照)。
【0039】(第2の実施形態)本第2の実施形態は、
前述した第1の実施形態の応用例であり、図1に示した
配線の接続構造を得るための別の形成方法である。以
下、図8〜図13を参照して説明する。
【0040】まず、半導体基板10上に第1の絶縁膜1
2の下層の絶縁膜としてSiO2 膜34を500nmの
厚さに形成したのち、エッチングストップ用絶縁層とし
てSiO2 のエッチング条件ではエッチングされない第
1のSiN膜32を50nmの厚さに形成し、さらに第
1の絶縁膜12の上層の絶縁膜としてSiO2 膜30を
500nmの厚さに形成して、三層構造の第1絶縁膜1
2を得る。
【0041】その後、最上層のSiO2 膜30の表面に
図示しないレジストを塗布してホトリソグラフィ技術に
より第1の配線パターン以外の部分と第1の配線内に設
けられる第1の配線の接続部となる領域とにレジストを
残し、これをマスクとしてC 2 8 ガスとO2 ガスとの
混合ガスを用いてプラズマエッチングする。
【0042】最上層のSiO2 膜30の下層には、Si
2 のエッチング条件ではエッチングされない第1のS
iN膜32が設けられているため、オーバーエッチング
を施しても溝の深さは最上層のSiO2 膜30の厚さ寸
法である500nm以上となることがない。従って、深
さ500nmの溝が精度よく得られる。その後、レジス
トを除去し、底面にSiN膜32が露出し、かつ、予め
定めた接続部位置に突状のSiO2 膜12aが残留した
第1の配線パターン溝14を得る(図8参照)。
【0043】次に、第1の配線パターン溝14が形成さ
れた第1SiO2 膜12の表面にCVD法などによって
TiN膜16を30nm程度形成した後、Ti膜17を
5nm形成する。その後、CMP法により上層のSiO
2 膜30の表面が露出するまで表面を研磨して、配線パ
ターン溝14の内面にTi膜17とTiN膜16とを順
に形成する。このとき、予め定めた接続部位置に設けた
突状のSiO2 膜12aの側面にもTi膜17aとTi
N膜16aとが順に形成される。
【0044】その後、上層のSiO2 膜30の表面にス
パッタ法によって第1の配線パターン溝14が埋まるま
でCuを形成した後、CMP法により最上層のSiO2
膜30の表面が露出するまで研磨する。これにより、第
1配線パターン溝14内にCuが埋め込まれて第1の配
線18が形成される。この第1の配線18は、予め定め
た接続部位置に、側面にTi膜17aとTiN膜16a
とが形成された突状のSiO2 膜12aが貫通したもの
となる(図9参照)。
【0045】第1の配線18の形成後、第2の絶縁膜2
2の下層の絶縁膜としてSiO2 膜44を500nmの
厚さに形成したのち、エッチングストップ用絶縁層とし
てSiO2 のエッチング条件ではエッチングされない第
2のSiN膜42を50nmの厚さに形成し、さらに第
2の絶縁膜22の上層の絶縁膜としてSiO2 膜40を
500nmの厚さに形成して、三層構造の第2絶縁膜2
2を得る。
【0046】その後、第1の配線の突状のSiO2 膜1
2aと、突状のSiO2 膜12aの周囲に形成されたT
i膜の端部17aと、TiN膜の端部16aと、第1の
配線の主配線19の一部とを含む大きさの孔50をC2
8 ガスとO2 ガスとの混合ガスを用いてプラズマエッ
チングにより第2の絶縁膜22に形成する。
【0047】第2のSiN膜42が露出するとそれ以上
のエッチングは進まなくなるため、エッチングガスをS
6 ガスとO2 ガスとの混合ガスに換えてプラズマエッ
チングすることにより第2のSiN膜42をエッチング
する。完全に第2のSiN膜42がエッチングされると
第2の絶縁膜22の下層の絶縁膜であるSiO2 膜44
が露出するため再びエッチングが進まなくなるので、エ
ッチングガスをC2 8 ガスとO2 ガスとの混合ガスに
換えて第2のSiN膜42の下層のSiO2 膜44をプ
ラズマエッチングする。
【0048】さらに、エッチングが進むと、第1の配線
の主配線19内の突状のSiO2 膜12aと、突状のS
iO2 膜12aの周囲に形成されたTi膜の端部17a
と、TiN膜の端部16aと、第1の配線の主配線19
の一部とが露出する。Ti膜の端部17aと、TiN膜
の端部16aと、第1の配線の主配線19の一部はエッ
チングされずに残るため、第1の配線の突状のSiO2
膜12aのみがエッチングされ、第1の配線の突状のS
iO2 膜12aが占めていた部分が空間となる。
【0049】この場合も、オーバーエッチングしても第
1のSiN膜32によってそれ以上のエッチングが阻止
されるので、第1の配線の突状のSiO2 膜12aが完
全に削れるとエッチングの進行がストップする。したが
って、得られる孔50は、Ti膜の端部17aと、Ti
N膜の端部16aと側面と、第1の配線の主配線19の
一部とが内部に露出したものとなる(図10参照)。
【0050】その後、前記孔50と接続するように第2
の配線のパターンをリソグラフィ技術により、第2の絶
縁膜22の上層の絶縁膜であるSiO2 膜40に形成し
た後、C2 8 ガスとO2 ガスとの混合ガスを用いてプ
ラズマエッチングする。第2の絶縁膜にも第2のSiN
膜42が設けられているため、オーバーエッチングして
も第2のSiN膜42によってそれ以上のエッチングが
阻止されるので、第2のSiN膜42が底面に露出する
と、エッチングの進行がストップする。したがって、深
さ500nmの第2の配線パターン溝24が精度よく得
られる(図11参照)。
【0051】得られた第2の配線パターン溝24内に第
1の配線の形成時と同様の工程で内面にTi膜27とT
iN26膜とを順に形成し、Ti膜27とTiN26膜
とを備えた第2の配線パターン溝24を得る(図12参
照)。
【0052】さらに、最上層のSiO2 膜40の表面に
スパッタ法やCVD法などによって第2の配線パターン
溝24が埋まるまでCuを形成した後、CMP法により
最上層のSiO2 膜40の表面が露出するまで表面を研
磨する。これにより、第1の配線の接続部用のTi膜1
7aの端部と、接続部用のTiN膜16aの端部と、接
続部用のTiN膜16aの側面とに接続する突状の接続
部を備えた第2の配線28が得られる(図13参照)。
【0053】以上述べた第2の実施形態の配線の接続構
造の形成方法では、オーバーエッチングしても他の部位
がエッチングされずに、予め定めたエッチング深さでエ
ッチングが止まるため、層間絶縁膜の膜厚のバラツキや
エッチングレートのバラツキによる下地層へのエッチン
グの突き抜けに起因する製品不良の発生を抑えることが
できる。従って、第2の接続部を形成させる際のエッチ
ング条件の制御が容易となり、且つ、配線間の接続信頼
性の向上した半導体装素子が得られるという利点があ
る。
【0054】なお、本第2の実施形態では、エッチング
ストップ用絶縁層としてSiNを使用しているが、Si
Nに限らず、上層に設けられる絶縁膜のエッチング条件
と異なるエッチング条件の絶縁性物質であれば用いるこ
とができる。また、第2の実施形態では、第1の絶縁膜
及び第2の絶縁膜の上層部分と下層部分とを同じ絶縁性
材料から成るものとしたが、下層側の絶縁膜はエッチン
グストップ用絶縁膜のエッチング条件と異なるエッチン
グ条件の絶縁性材料であれば、上層と異なる種類の絶縁
性材料により構成してもよい。もちろん、第1の絶縁膜
だけ又は第2の絶縁膜だけにエッチングストップ用絶縁
膜を設けるようにすることもできる。
【0055】(第3の実施形態)図14は、図1に示し
た配線の接続構造の別の構成例であり、図1に示した第
2の配線29が、第1の配線18との間にさらに第2の
Ti膜25を備え、第2の配線側の第2のTi膜25を
第1の配線の上面に露出する主配線部分の導通層として
用いる構成である。これにより、導通層を介して接続す
る面積が広くなるので電流の集中によるエレクトロマイ
グレーションの発生を極力抑えることができ、より一層
接続信頼性の向上した配線となる。
【0056】この構成の配線の接続構造は、上述した第
1の実施形態と第2の実施形態の第2配線パターン溝を
形成した後に、第1の配線の上面に露出する主配線部分
の導通層として用いるためのTi膜25を形成してか
ら、TiN膜26aとTi膜27aとを形成することに
より形成される。
【0057】本第3の実施形態では、TiN膜26aと
Ti膜27aとを形成する前に、Ti膜25を形成して
いるため、TiN膜26を形成する際に用いる窒素ガス
やアンモニアガスなどによって第2配線パターン24と
連通する孔50内に露出する第1配線18の主配線19
部の表面が窒化されて絶縁膜が形成されるなどの第1の
配線18と第2の配線28との導通を損ねる恐れがない
という利点がある。なお、その他は上述した第2の実施
形態と同様であるので詳細説明は省略する。また、図1
4には、第2の実施形態と同様の方法で形成した配線の
接続構造を示しているが、第1の実施形態の方法でも同
様の効果が得られる。
【0058】上記第1から第3の実施形態において、第
1の配線18の接続部の開口形状を長辺が電子の流れる
方向eに沿って配置された長方形としているが、特にこ
の形状に限らず、例えば、長軸が電子の流れる方向eに
沿って配置された楕円形として同様の効果が得られる。
なお、ボイドの形成開始から開口部周辺の主配線部を構
成する金属がなくなるまでの時間をさほど長くしなくて
もよいのであれば、円形やその他の多角形などすること
もできる。
【0059】また、上記第1から第3の実施形態では、
特に幅の広い配線に他の配線が接続する場合に有効であ
り、上記第1から第3の実施形態では、接続部の位置を
配線幅に対して側面側に偏って設けているが、この場所
に限らず、主配線部内であれば、すべての位置に設ける
ことができる。
【0060】(第4の実施形態)図15を参照して第4
の実施形態を説明する。図15(a)に示す上面図では
説明をわかり易くするため、第1の配線38を実線で示
し、第2の配線48は点線で示し、第1の配線38が形
成された第1SiO2 膜12は一点鎖線で示し、第2の
配線28が形成された第2SiO2 膜22は省略してい
る。また、本第4の実施形態では第1の配線38とし
て、比較的幅の狭い配線を用いている。
【0061】図15(a)及び図15(b)に示すよう
に、第1の配線38はCuから成る主配線部19と、主
配線部19の上面以外のすべての面に、導通層としてT
i膜17と、バリア層としてTiN膜16とを備えた溝
埋め込み配線である。
【0062】また、第2の配線48は、下面側に突状の
接続部が設けられたCuから成る主配線部29と、第1
の配線38と同様に主配線部29の上面以外のすべての
面に、導通層としてTi膜27と、バリア層としてTi
N膜26とを備えている。
【0063】第2の配線28の突状の接続部は、第1の
配線の幅よりも広く形成され、第1の配線38の上面に
露出する導通層17の端部とバリア層16の端部及び側
面とに第1の配線38の端部の3側面から接続するとと
もに、第1の配線38の端部の主配線部19の上面全面
に直接接続する。このような構成とすることにより、接
続面積が大きくなるので接触抵抗が低く、且つ、電流の
流れやすい接続構造となる。したがって、エレクトロマ
イグレーションの起こりにくい接続構造が得られる。
【0064】このような接続構造を有する配線におい
て、第1の配線38が高電位、第2の配線48が低電位
となるように電圧を印加すると、電子は第2の配線48
から第1の配線38に流れる。このとき、第1の配線3
8の主配線部19を構成するCu原子のうち電子の流れ
の上流側、すなわち、第2の配線48との接続部側のC
u原子がエレクトロマイグレーションよって電子の流れ
とともに移動する。
【0065】そのため、時間の経過とともに第1の配線
38の端部の主配線部19内にボイドが形成されるが、
第2の配線48の接続部は、導通層として設けられたT
i膜17にも直接接続しているため、このTi膜17に
よって第1の配線38と第2の配線48との電気的接続
が維持されることとなる。したがって、エレクトロマイ
グレーションにより主配線部19内にボイドが形成され
ても長い期間導通状態を良好に維持することができ、接
続信頼性の高い配線の接続構造となる。
【0066】このような配線の接続構造を製造する方法
を図16〜図19を参照して以下に説明する。まず、半
導体基板10上に第1の絶縁膜である第1SiO2 膜1
2を1μmの厚さに形成する。
【0067】その後、第1SiO2 膜12の表面に図示
しないレジストを塗布してホトリソグラフィ技術により
第1の配線パターン以外の部分にレジストを残し、これ
をマスクとしてC2 8 ガスとO2 ガスとの混合ガスを
用いたプラズマエッチングにより深さ500nm程度の
溝を形成する。その後、レジストを除去し、第1の配線
パターン溝を得る。
【0068】次に、第1の配線パターン溝が形成された
第1SiO2 膜12の表面にCVD法などによってTi
N膜16を30nm程度形成した後、Ti膜17を5n
m形成する。その後、CMP法により第1のSiO2
12の表面が露出するまで表面を研磨して、配線パター
ン溝の内面にTi膜17とTiN膜16とを順に形成す
る。
【0069】その後、第1SiO2 膜12の表面にスパ
ッタ法によって第1の配線パターン溝が埋まるまでCu
を形成した後、CMP法により第1のSiO2 膜12の
表面が露出するまで研磨する。これにより、第1配線パ
ターン溝内にCuが埋め込まれて第1の配線38が形成
される(図16参照)。
【0070】第1の配線38の形成後、第2の絶縁膜で
ある第2SiO2 膜22を1μmの厚さに形成する。そ
の後、第1の配線38の幅よりも大きい寸法の孔51を
28 ガスとO2 ガスとの混合ガスを用いてプラズマ
エッチングにより第1の配線38の端部を覆うように形
成する(図17参照)。
【0071】その後、前記孔51と接続するように、第
2の配線のパターンをリソグラフィ技術により形成した
後、C2 8 ガスとO2 ガスとの混合ガスを用いたプラ
ズマエッチングによって第2の配線48の型となる深さ
500nm程度の第2の配線パターン溝23を第2Si
2 膜22に形成する。得られた第2の配線パターン溝
23内に第1の配線の形成時と同様の工程で内面にTi
N膜26とTi膜27とを順に形成し、TiN膜26と
Ti膜27とを備えた第2の配線パターン溝23を得る
(図18参照)。
【0072】さらに、第2SiO2 膜22の表面にスパ
ッタ法やCVD法などによって第2の配線パターン溝2
4が埋まるまでCuを形成した後、CMP法により第2
のSiO2 膜22の表面が露出するまで表面を研磨す
る。これにより、第2の配線の接続部が、第1の配線3
8のTi膜17の端部とTiN膜16の端部とを含む端
部上面と、第1の配線38の端部の3側面とに接続した
構成の配線の接続構造が得られる(図19参照)。
【0073】(第5の実施形態)図20は、図15に示
した配線の接続構造の別の構成例であり、図15に示し
た第2の配線48の接続部が、第1の配線38の一側面
に接続する構成である。この場合は、上述の第4の実施
形態に比べて接続部の幅を狭くできるという利点があ
る。なお、この配線の接続構造の形成方法は上記第4の
実施形態において、第2SiO2 膜22に形成する孔の
位置を第1の配線38の一側面にかかるように変えて形
成するだけであるので詳細な説明は省略する。
【0074】なお、第4と第5の実施形態において、第
2SiO2 膜22に形成する孔の形状を長手方向が電子
の流れる方向に沿った長方形状や楕円形状等の細長い形
状とすることにより、ボイドが形成され始めてから接続
部全体に広がるまでの時間を長く取ることができ、その
分接続信頼性の向上したものとなるので好ましい。
【0075】また、第4と第5の実施形態の配線の接続
構造を上述した第2の実施形態のようにエッチングスト
ップ用の絶縁層を使用する方法により形成することもで
きる。
【0076】以上述べたすべての実施形態において、導
通層としてTiを用いる場合を挙げたが、本発明ではこ
の物質に限らず、W、Pt、Pd、Mo、Co等の他の
高融点金属や、WSi2 、PtSi、Pd2 Si、Mo
Si2 、CoSi2 等のこれらの高融点金属のシリサイ
ド等を使用することができる。また、バリヤ層としてT
iの窒化物を用いているが、上述したような他の高融点
金属の窒化物を使用することもできる。
【0077】また、主配線部を構成するCuをスパッタ
法を用いて形成しているが、スパッタ法に限らず、CV
D法やメッキ法などの他の方法によって形成してもよ
い。
【0078】なお、以上述べたすべての実施形態では説
明のため、二層に形成した配線同士の接続構造としてい
るが、本発明は多層に形成した配線同士の接続に適用で
きるものである。もちろん、最上層の配線をダマシン配
線としない場合も同様な配線の接続構造を採用すること
ができる。
【0079】
【発明の効果】以上説明したように、請求項1〜9の発
明によれば、エレクトロマイグレーションにより配線を
構成する金属原子が移動しても長い期間導通状態が良好
であり、接続信頼性に優れた配線の接続構造及びその形
成方法を提供できる、という効果が得られる。
【図面の簡単な説明】
【図1】(a)は本発明の第1の実施形態における配線
の接続構造の一部透視上面図であり、(b)は図1
(a)におけるA−A線断面図である。
【図2】図1に示した配線の接続構造の形成工程におい
て、第1配線パターン溝形成後の状態を示すA−A線断
面図である。
【図3】図1に示した配線の接続構造の形成工程におい
て、第1配線形成後の状態を示すA−A線断面図であ
る。
【図4】図1に示した配線の接続構造の形成工程におい
て、第1配線と第2配線との接続部の型となる孔を形成
した後の状態を示すA−A線断面図である。
【図5】図1に示した配線の接続構造の形成工程におい
て、第2配線パターン溝形成後の状態を示すA−A線断
面図である。
【図6】図1に示した配線の接続構造の形成工程におい
て、第2配線パターン溝内にバリア層と導通層とを形成
した後の状態を示すA−A線断面図である。
【図7】図1に示した配線の接続構造の形成工程におい
て、第2配線形成後の状態を示すA−A線断面図であ
る。
【図8】本発明の第2の実施形態を示しており、図1に
示した配線の接続構造を形成する第2の方法の形成工程
において、第1配線パターン溝形成後の状態を示すA−
A線断面図である。
【図9】図1に示した配線の接続構造を形成する第2の
方法の形成工程において、第1配線形成後の状態を示す
A−A線断面図である。
【図10】図1に示した配線の接続構造を形成する第2
の方法の形成工程において、第1配線と第2配線との接
続部の型となる孔を形成した後の状態を示すA−A線断
面図である。
【図11】図1に示した配線の接続構造を形成する第2
の方法の形成工程において、第2配線パターン溝形成後
の状態を示すA−A線断面図である。
【図12】図1に示した配線の接続構造を形成する第2
の方法の形成工程において、第2配線パターン溝内にバ
リア層と導通層とを形成した後の状態を示すA−A線断
面図である。
【図13】図1に示した配線の接続構造を形成する第2
の方法の形成工程において、第2配線形成後の状態を示
すA−A線断面図である。
【図14】本発明の第3の実施形態を示しており、第1
配線と第2配線との接続部を含む面における断面図であ
る。
【図15】(a)は本発明の第4の実施形態における配
線の接続構造の一部透視上面図であり、(b)は図15
(a)におけるB−B線断面図である。
【図16】図15に示した配線の接続構造の形成工程に
おいて、第1配線形成後の状態を示すB−B線断面図で
ある。
【図17】図15に示した配線の接続構造の形成工程に
おいて、第1配線と第2配線との接続部の型となる孔を
形成した後の状態を示すB−B線断面図である。
【図18】図15に示した配線の接続構造の形成工程に
おいて、第2配線パターン溝内にバリア層と導通層とを
形成した後の状態を示すB−B線断面図である。
【図19】図15に示した配線の接続構造の形成工程に
おいて、第2配線形成後の状態を示すB−B線断面図で
ある。
【図20】(a)は本発明の第5の実施形態における配
線の接続構造の一部透視上面図であり、(b)は図20
(a)におけるC−C線断面図である。
【図21】従来のダマシン配線の形成方法の概略を示す
工程図である。
【符号の説明】
10 半導体基板 12 第1SiO2 膜 12a 突状のSiO2 膜 14 第1の配線パターン溝 16、26 TiN膜 16a 接続部用のTiN膜 17、27 Ti膜 17a 接続部用のTi膜 18 第1の配線 19、29 主配線部 22 第2SiO2 膜 24 第2の配線パターン溝 28 第2の配線 50 孔

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 貫通孔を備えた主配線部に、該主配線部
    の貫通孔内を含む上面以外の面に低抵抗の導電性材料か
    らなる導通層とバリヤ層とを順に備えた溝埋め込み式の
    第1の配線に、 前記第1の配線の上層に設けられる第2の配線の接続部
    が、前記貫通孔の内面に設けられた導通層と前記貫通孔
    の内面に設けられたバリヤ層との少なくとも1つを含む
    領域に接続するように設けられた配線の接続構造。
  2. 【請求項2】 前記第2の配線の接続部は、前記貫通孔
    内に入り込んでいる請求項1に記載の配線の接続構造。
  3. 【請求項3】 前記貫通孔は、長手方向が電子の流れる
    方向に沿って形成された長尺状の開口部を備えているこ
    とを特徴とする請求項1又は2に記載の配線の接続構
    造。
  4. 【請求項4】 主配線部の上面以外の面に低抵抗の導電
    性材料からなる導通層とバリヤ層とを順に備えた溝埋め
    込み式の第1の配線に、 該第1の配線の上層に設けられた第2の配線の接続部
    が、前記第1の配線の表面に露出した導通層の端部と、
    第1の配線の表面に露出したバリヤ層の端部と、第1の
    配線の側面側のバリヤ層の一部との少なくとも1つを含
    む領域に接続するように設けられた配線の接続構造。
  5. 【請求項5】 前記バリヤ層は、高融点金属の窒化物か
    ら構成され、 前記導通層は、高融点金属と高融点金属のシリサイド化
    合物の何れか一方から構成されていることを特徴とする
    請求項1〜4に記載の配線の接続構造。
  6. 【請求項6】 第1の絶縁層に設ける第1の配線の形成
    予定領域内の予め定めた一部領域にマスクをした状態で
    エッチングした後マスクを取除いて、溝内に絶縁層を突
    状に残した第1の配線パターン溝を形成し、 前記第1の配線パターン溝の内面に、バリヤ層と、低抵
    抗の導電性材料からなる導通層とを順に形成してから、
    導電性材料からなる主配線材料を埋め込んで第1の配線
    を形成し、 前記第1の配線が形成された前記第1の絶縁層上に第2
    の絶縁層を形成し、 前記突状に残した絶縁層の外側面に設けられた導通層と
    バリア層との少なくとも一方が露出するように第2の絶
    縁層にスルーホールを形成し、 該スルーホール内に導電性材料を埋め込んで第2の配線
    と接続する接続部を形成する配線の接続部の形成方法。
  7. 【請求項7】 第1の絶縁層に第1の配線パターン溝を
    形成し、 前記第1の配線パターン溝の内面に、バリヤ層と、低抵
    抗の導電性材料からなる導通層とを順に形成してから、
    導電性材料からなる主配線材料を埋め込んで第1の配線
    を形成し、 第1の配線が形成された前記第1の絶縁層上に第2の絶
    縁層を形成し、 前記第1の配線パターン溝の内面に設けられたバリヤ層
    と導通層との少なくとも一方が露出するように第2の絶
    縁層にスルーホールを形成し、 該スルーホール内に導電性材料を埋め込んで第2の配線
    と接続する接続部を形成する配線の接続部の形成方法。
  8. 【請求項8】 第1の絶縁層の形成中に、第1の絶縁層
    を形成する絶縁性材料とエッチング条件の異なる絶縁性
    材料を用いてエッチングストップ用絶縁層を設け、さら
    にその上に前記第1の絶縁層を形成する絶縁性材料また
    は前記第1の絶縁層を形成する絶縁性材料と同じエッチ
    ング条件の絶縁性材料を第1の配線パターン溝の深さと
    同じ厚さに形成してから、第1の配線パターン溝を形成
    する請求項6又は7に記載の配線の接続部の形成方法。
  9. 【請求項9】 前記バリヤ層は、高融点金属の窒化物か
    ら構成され、 前記導通層は、高融点金属と高融点金属のシリサイド化
    合物の何れか一方から構成されていることを特徴とする
    請求項6〜8のいずれかに記載の配線の接続部の形成方
    法。
JP10163304A 1998-06-11 1998-06-11 配線の接続構造及び配線の接続部の形成方法 Pending JPH11354637A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP10163304A JPH11354637A (ja) 1998-06-11 1998-06-11 配線の接続構造及び配線の接続部の形成方法
US09/329,249 US6400031B1 (en) 1998-06-11 1999-06-10 Semiconductor device having damascene interconnection structure that prevents void formation between interconnections
US10/127,599 US6759747B2 (en) 1998-06-11 2002-04-23 Semiconductor device having damascene interconnection structure that prevents void formation between interconnections
US10/397,369 US7777337B2 (en) 1998-06-11 2003-03-27 Semiconductor device having damascene interconnection structure that prevents void formation between interconnections
US11/010,315 US7126222B2 (en) 1998-06-11 2004-12-14 Semiconductor device
US11/010,339 US7176577B2 (en) 1998-06-11 2004-12-14 Semiconductor device
US12/829,633 US8786087B2 (en) 1998-06-11 2010-07-02 Semiconductor device having damascene interconnection structure that prevents void formation between interconnections having transparent dielectric substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10163304A JPH11354637A (ja) 1998-06-11 1998-06-11 配線の接続構造及び配線の接続部の形成方法

Publications (1)

Publication Number Publication Date
JPH11354637A true JPH11354637A (ja) 1999-12-24

Family

ID=15771292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10163304A Pending JPH11354637A (ja) 1998-06-11 1998-06-11 配線の接続構造及び配線の接続部の形成方法

Country Status (2)

Country Link
US (6) US6400031B1 (ja)
JP (1) JPH11354637A (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183067A (ja) * 1998-12-18 2000-06-30 Rohm Co Ltd 半導体装置の製造方法
JP2007242883A (ja) * 2006-03-08 2007-09-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2009049313A (ja) * 2007-08-22 2009-03-05 Nec Electronics Corp 半導体装置およびその製造方法
JP2009259967A (ja) * 2008-04-15 2009-11-05 Nec Corp 配線構造、半導体装置及び半導体装置の製造方法
JP2010103433A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2010157697A (ja) * 2008-12-29 2010-07-15 Internatl Business Mach Corp <Ibm> エレクトロマイグレーション耐性を有するビア・ライン相互接続体
JP2011009740A (ja) * 2009-06-25 2011-01-13 Internatl Business Mach Corp <Ibm> 半導体デバイスのための電力グリッド構造体及びその製造方法
JP2012238889A (ja) * 2012-08-06 2012-12-06 Renesas Electronics Corp 半導体装置およびその製造方法
JP2013239745A (ja) * 2007-04-09 2013-11-28 President & Fellows Of Harvard College 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
TWI620212B (zh) * 2013-03-28 2018-04-01 Tdk股份有限公司 電子零件及其製造方法

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US7197228B1 (en) * 1998-08-28 2007-03-27 Monroe David A Multifunction remote control system for audio and video recording, capture, transmission and playback of full motion and still images
KR100389927B1 (ko) 2001-06-07 2003-07-04 삼성전자주식회사 다층 배선 구조를 구비한 반도체 소자 및 그 제조 방법
US6579795B1 (en) * 2002-04-02 2003-06-17 Intel Corporation Method of making a semiconductor device that has copper damascene interconnects with enhanced electromigration reliability
US20040150103A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Sacrificial Metal Liner For Copper
JP4499390B2 (ja) * 2003-09-09 2010-07-07 パナソニック株式会社 半導体装置及びその製造方法
US7170176B2 (en) * 2003-11-04 2007-01-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7989956B1 (en) 2004-09-03 2011-08-02 Advanced Micro Devices, Inc. Interconnects with improved electromigration reliability
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
DE102006025365B4 (de) * 2006-05-31 2010-10-07 Advanced Micro Devices, Inc., Sunnyvale Teststruktur zum Abschätzen von Elektromigrationseffekten, die durch poröse Barrierenmaterialien hervorgerufen werden
US7849804B2 (en) * 2006-09-20 2010-12-14 Ditto Sales, Inc. Stackable, nestable and gangable table
US20080182407A1 (en) * 2007-01-31 2008-07-31 Advanced Micro Devices, Inc. Method of forming vias in a semiconductor device
US7981789B2 (en) * 2008-11-14 2011-07-19 Infineon Technologies Ag Feature patterning methods and structures thereof
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8940634B2 (en) * 2011-06-29 2015-01-27 International Business Machines Corporation Overlapping contacts for semiconductor device
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101870155B1 (ko) * 2012-02-02 2018-06-25 삼성전자주식회사 비아 연결 구조체, 그것을 갖는 반도체 소자 및 그 제조 방법들
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101932660B1 (ko) * 2012-09-12 2018-12-26 삼성전자 주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8829986B1 (en) * 2013-05-22 2014-09-09 International Business Machines Corporation Structure and method for integrated synaptic element
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6256659B2 (ja) 2015-04-20 2018-01-10 富士電機株式会社 半導体装置
JP6380666B2 (ja) * 2015-04-20 2018-08-29 富士電機株式会社 半導体装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN108701669B (zh) * 2015-12-23 2023-01-17 英特尔公司 冗余通孔互连结构
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10535558B2 (en) * 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068595A (ko) * 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60111442A (ja) * 1983-11-22 1985-06-17 Nec Corp 半導体装置
JPS63269546A (ja) * 1987-04-27 1988-11-07 Nec Corp 半導体装置の製造方法
JPH05129447A (ja) * 1991-11-07 1993-05-25 Fujitsu Ltd 半導体装置の製造方法
JPH07321197A (ja) * 1994-05-20 1995-12-08 Nec Corp 半導体集積回路の配線構造およびその製造方法
JPH0864676A (ja) * 1994-08-17 1996-03-08 Sumitomo Metal Ind Ltd 半導体装置の製造方法
JPH0888227A (ja) * 1994-09-20 1996-04-02 Sony Corp 配線及びその形成方法
JPH09172070A (ja) * 1995-12-18 1997-06-30 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH1174346A (ja) * 1997-08-28 1999-03-16 Sony Corp 多層配線およびその製造方法
JPH11251433A (ja) * 1998-03-06 1999-09-17 Rohm Co Ltd 半導体装置およびその製法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6450443A (en) * 1987-08-20 1989-02-27 Toshiba Corp Semiconductor device
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
DE4328474C2 (de) * 1993-08-24 1996-09-12 Gold Star Electronics Mehrschichtverbindungsstruktur für eine Halbleitereinrichtung
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5444022A (en) * 1993-12-29 1995-08-22 Intel Corporation Method of fabricating an interconnection structure for an integrated circuit
US5571751A (en) * 1994-05-09 1996-11-05 National Semiconductor Corporation Interconnect structures for integrated circuits
US5614764A (en) * 1995-01-13 1997-03-25 Intel Corporation Endcap reservoir to reduce electromigration
JPH0917785A (ja) * 1995-06-30 1997-01-17 Sony Corp 半導体装置のアルミニウム系金属配線
JPH0955425A (ja) * 1995-08-10 1997-02-25 Mitsubishi Electric Corp 多層Al配線構造を有する半導体装置およびその製造方法
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
JPH09232423A (ja) * 1996-02-21 1997-09-05 Nec Corp 半導体装置およびその製造方法
US5840624A (en) 1996-03-15 1998-11-24 Taiwan Semiconductor Manufacturing Company, Ltd Reduction of via over etching for borderless contacts
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US5792705A (en) 1996-06-28 1998-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized planarization process for SOG filled vias
JP2985789B2 (ja) * 1996-08-30 1999-12-06 日本電気株式会社 半導体装置の製造方法
KR100219508B1 (ko) * 1996-12-30 1999-09-01 윤종용 반도체장치의 금속배선층 형성방법
JPH10242271A (ja) * 1997-02-28 1998-09-11 Sony Corp 半導体装置及びその製造方法
JP3725964B2 (ja) * 1997-04-17 2005-12-14 株式会社ルネサステクノロジ 半導体装置及び半導体装置の製造方法
JP3228181B2 (ja) 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6133139A (en) 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
US6093635A (en) * 1997-12-18 2000-07-25 Advanced Micro Devices, Inc. High integrity borderless vias with HSQ gap filled patterned conductive layers
TW356583B (en) * 1997-12-19 1999-04-21 United Microelectronics Corp Barrier layer forming method
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
JP3102409B2 (ja) * 1998-04-30 2000-10-23 日本電気株式会社 配線の形成方法及びプラズマアッシング装置
JPH11354637A (ja) 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6030896A (en) * 1999-04-21 2000-02-29 National Semiconductor Corporation Self-aligned copper interconnect architecture with enhanced copper diffusion barrier

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60111442A (ja) * 1983-11-22 1985-06-17 Nec Corp 半導体装置
JPS63269546A (ja) * 1987-04-27 1988-11-07 Nec Corp 半導体装置の製造方法
JPH05129447A (ja) * 1991-11-07 1993-05-25 Fujitsu Ltd 半導体装置の製造方法
JPH07321197A (ja) * 1994-05-20 1995-12-08 Nec Corp 半導体集積回路の配線構造およびその製造方法
JPH0864676A (ja) * 1994-08-17 1996-03-08 Sumitomo Metal Ind Ltd 半導体装置の製造方法
JPH0888227A (ja) * 1994-09-20 1996-04-02 Sony Corp 配線及びその形成方法
JPH09172070A (ja) * 1995-12-18 1997-06-30 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH1174346A (ja) * 1997-08-28 1999-03-16 Sony Corp 多層配線およびその製造方法
JPH11251433A (ja) * 1998-03-06 1999-09-17 Rohm Co Ltd 半導体装置およびその製法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183067A (ja) * 1998-12-18 2000-06-30 Rohm Co Ltd 半導体装置の製造方法
JP2007242883A (ja) * 2006-03-08 2007-09-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2013239745A (ja) * 2007-04-09 2013-11-28 President & Fellows Of Harvard College 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
JP2009049313A (ja) * 2007-08-22 2009-03-05 Nec Electronics Corp 半導体装置およびその製造方法
JP2009259967A (ja) * 2008-04-15 2009-11-05 Nec Corp 配線構造、半導体装置及び半導体装置の製造方法
JP2010103433A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2010157697A (ja) * 2008-12-29 2010-07-15 Internatl Business Mach Corp <Ibm> エレクトロマイグレーション耐性を有するビア・ライン相互接続体
US8922022B2 (en) 2008-12-29 2014-12-30 International Business Machines Corporation Electromigration resistant via-to-line interconnect
JP2011009740A (ja) * 2009-06-25 2011-01-13 Internatl Business Mach Corp <Ibm> 半導体デバイスのための電力グリッド構造体及びその製造方法
JP2012238889A (ja) * 2012-08-06 2012-12-06 Renesas Electronics Corp 半導体装置およびその製造方法
TWI620212B (zh) * 2013-03-28 2018-04-01 Tdk股份有限公司 電子零件及其製造方法

Also Published As

Publication number Publication date
US7176577B2 (en) 2007-02-13
US20100270675A1 (en) 2010-10-28
US7777337B2 (en) 2010-08-17
US20050110100A1 (en) 2005-05-26
US20030183942A1 (en) 2003-10-02
US6400031B1 (en) 2002-06-04
US20050098889A1 (en) 2005-05-12
US6759747B2 (en) 2004-07-06
US20020125579A1 (en) 2002-09-12
US8786087B2 (en) 2014-07-22
US20020036346A1 (en) 2002-03-28
US7126222B2 (en) 2006-10-24

Similar Documents

Publication Publication Date Title
JPH11354637A (ja) 配線の接続構造及び配線の接続部の形成方法
US7312532B2 (en) Dual damascene interconnect structure with improved electro migration lifetimes
TWI571998B (zh) 半導體裝置及其形成方法
JPH09153545A (ja) 半導体装置及びその製造方法
KR20000037768A (ko) 다마신 금속배선 및 그 형성방법
JPH1074834A (ja) 半導体装置及びその製造方法
KR100752174B1 (ko) 2개의 시드층을 이용한 반도체 소자의 구리 배선 형성 방법
KR100539444B1 (ko) 반도체 소자의 금속배선 형성방법
JP3415387B2 (ja) 半導体装置およびその製造方法
JPH0817918A (ja) 半導体装置及びその製造方法
JP2000232106A (ja) 半導体装置および半導体装置の製造方法
KR100207474B1 (ko) 돌출형 텅스텐-플러그를 구비한 배선막 구조 및그 제조방법
KR100539443B1 (ko) 반도체 소자의 금속배선 형성방법
JPH11135623A (ja) 多層配線装置及びその製造方法
JPH11220025A (ja) 半導体装置およびその製造方法
JPH10209276A (ja) 配線形成方法
JPH06104343A (ja) 半導体装置及び半導体製造方法
JP2004022694A (ja) 半導体装置の製造方法
KR100383756B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR101034929B1 (ko) 반도체 소자의 금속 배선 형성 방법
JPH08316309A (ja) 半導体装置の製造方法
JPH11214506A (ja) 半導体装置及びその製造方法
KR100443522B1 (ko) 반도체소자의제조방법
JPH0239469A (ja) 半導体装置
JPH08167609A (ja) 半導体装置の配線構造及びその形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080512

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080603

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080822

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20090107

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101228