JP2013239745A - 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法 - Google Patents

銅の相互接続体のための窒化コバルト層及びそれらを形成する方法 Download PDF

Info

Publication number
JP2013239745A
JP2013239745A JP2013167694A JP2013167694A JP2013239745A JP 2013239745 A JP2013239745 A JP 2013239745A JP 2013167694 A JP2013167694 A JP 2013167694A JP 2013167694 A JP2013167694 A JP 2013167694A JP 2013239745 A JP2013239745 A JP 2013239745A
Authority
JP
Japan
Prior art keywords
copper
cobalt
layer
metal
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013167694A
Other languages
English (en)
Other versions
JP6009419B2 (ja
Inventor
Gerald Gordon Roy
ジェラルド ゴードン,ロイ
Bhandari Harish
バンダリ,ハリシュ
Hoon Kim
キム,フン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harvard College
Original Assignee
Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harvard College filed Critical Harvard College
Publication of JP2013239745A publication Critical patent/JP2013239745A/ja
Application granted granted Critical
Publication of JP6009419B2 publication Critical patent/JP6009419B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/65Metal complexes of amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】現在の相互接続技術は、共形で安価な接着及び銅の平坦で高導電性の層が堆積され得る酸素拡散バリアー層を欠く。
【解決手段】集積回路のための相互接続構造体に、銅線の核形成、成長及び接着を促進する窒化コバルトの層が組み込まれる。銅の拡散バリヤーとして機能し、かつ窒化コバルトと下地の絶縁体の間の接着性も増加させる、窒化タングステン又は窒化タンタルなどの耐熱性の金属窒化物又は金属炭化物層上に窒化コバルトを堆積してよい。窒化コバルトは、新規なコバルトアミジナート前駆体からの化学気相成長により形成され得る。窒化コバルト上に堆積された銅層は、高い電気伝導度を示し、マイクロエレクトロニクスにおける銅伝導体の電気化学的な堆積のための種層として機能できる。
【選択図】図1

Description

本願は、2007年4月9日付けで出願された同時係属中の米国特許出願第60/922,485号の優先権の利益を米国特許法U.S.C.119(e)に基づき主張し、この出願を参照してその全体で本明細書に援用する。
本願は、2007年10月5日に出願された同時係属中の米国特許出願第60/998,023号の優先権の利益を米国特許法U.S.C.119(e)に基づき主張し、この出願を参照してその全体で本明細書に援用する。
本発明は、マイクロエレクトロニクスに用いる銅の相互接続体及び金属含有層を堆積させる方法に関する。
銅は、マイクロプロセッサ及びメモリーなどのマイクロエレクトロニクス・デバイスの配線に選択される材料としてアルミニウムに代わるものとなっている。銅は、電気めっきによって、二酸化ケイ素などの絶縁体中のホール及びトレンチに通常入れられる。次に、過剰な銅がデバイスの表面から研磨して除かれる。その構造は、ホール及びトレンチが配線の次のレベルの開始点になるためにエッチングされる絶縁部によって覆われている。
微細な銅線が研磨プロセスに耐えるために、銅は絶縁体に強く接着しなければならない。また、この接着性は製造の残りの工程及びデバイスの使用を通して維持されなければならない。現在使われている技術では、スパッタされた窒化タンタル(TaN)及びタンタル金属(Ta)の二重層構造が、この接着性を生むために使われる。TaNは絶縁体に対する強い接着性を与え、Taは、銅のスパッタされた種層(この層に、さらに銅が電気めっきされる)に強く接着する。また、Taは酸素及び水が銅の配線を浸食しないようにする。
ケイ素などの半導体中の銅の存在は、半導体に形成されたトランジスタの適切な機能を阻害し得るという欠点を引き起こす。また、銅は、銅線間に位置する二酸化ケイ素などの絶縁体を通じて電流の漏電を増加させる。したがって、銅をその適切な位置に留めておくために、銅の配線の使用は、効率的な拡散バリヤーが銅線を囲むことを求める。スパッタされたTaNは、最新の技術において拡散バリヤーとして役立つ。
また、銅には、電子が回路内を流れる方向に動く傾向がある。十分に大きな空隙が銅の相互接続部内に生じるならば、このエレクトロマイグレーションプロセスは、増加した電気抵抗、さらには開回路につながることがある。この望ましくない動きのほとんどは、銅の表面に沿って起きる。エレクトロマイグレーションを阻害する材料で銅の相互接続部を囲むことにより、長い可使期間を維持できる。タンタル材料(Ta)は、現在使われている銅の相互接続部の底部及び側部でこの機能を担う。窒化ケイ素又は炭化ケイ素は銅のエレクトロマイグレーションを減らすことについてTaほど有効ではないが、典型的には銅の配線の上部(更に上のレベルと接続しない部分)は、これらの材料で覆われる。
次世代のマイクロエレクトロニクス・デバイスでは、産業計画は、国際半導体技術ロードマップ(International Technology Roadmap for Semiconductors,ITRS)で毎年公表されるように、より薄いバリヤー、接着部及び種層を基づいて、より狭い配線を必要とする。ITRSは、現在使用されているスパッタされたCu/TaN/Taが、これらの計画された要求を満たすことができないであろうと予想する。スパッタされたコーティングの乏しい共形性(conformality)は、これらの構造の下方の部分に十分な厚さを与えるために、ホール及びトレンチの上部付近で必要とされる層よりも厚いものであることを意味する。この形状の上部付近に出現した「突出部(オーバーハング)」は、電気めっきされた銅が、抵抗を増加させてエレクトロマイグレーションを誘発する不安定性を激化させる空間を離れることなく、ホール及びトレンチを充填することを困難にする。
コバルト(Co)金属が、相互接続部内のTa接着層の代替物として提案されている。Co膜は、スパッタされたTaより良好な共形性をもって蒸着(CVD又はALD)することができる。しかし、銅がコバルト表面上へ蒸着されるとき、銅は、低い電気伝導度を有する比較的粗い膜を形成している別々の核へと凝集する傾向がある。
ルテニウム(Ru)金属も相互接続部内のTa接着層の代替物として提案されている。Ru膜は、スパッタされたTaより良好な共形性をもって蒸着(CVD又はALD)することができる。銅がRu上へ蒸着されるとき、銅層は適切な条件下にある場合に平坦で、かつ非常に導電性になり得る。しかし、Ruは高価な金属であり、Ruは相互接続部における大規模な適用のために十分な量で入手できないであろう。また、Ruは酸素に対する良好な拡散バリヤーではない。
したがって、現在の相互接続技術は、共形で安価な接着及び銅の平坦で高導電性の層が堆積され得る酸素拡散バリアー層を欠く。
銅と周囲の材料の間の強い接着を確保し、配線からの銅の拡散及び酸素又は水の配線中への拡散を阻害するバリヤーを提供し、そして銅線がそれ自体で運ぶ電流によって損傷することを防ぐ材料及び技術が開示される。
銅の平坦で高導電性の層を堆積してよい窒化コバルト(CoN)の共形で安価な層が説明される。典型的には、CoNの組成は、x=約1〜約10の範囲であり、例えば約3〜6の範囲でよい。一実施形態では、xは約4であり、化合物CoNに対応している。xは整数である必要がない。
CoN層は、物理気相成長法(PVD)及び化学気相成長法(CVD)などの任意の使い易い方法で堆積してよい。CVDは、共形のコーティングを提供する堆積条件下で行なうことができる。
一実施形態では、CoN層は、コバルトアミジナート、窒素源(例えば、アンモニア)及び還元源(例えば、水素ガス)の蒸気からのCVDで堆積される。
所望により、コバルト含有層の堆積前に、非晶質のTaN、TaC、WN、WC若しくはMoN又はそれらの混合物などの銅拡散バリヤーを堆積してよい。
CVD、PVD、化学的還元又は電気化学的堆積などの任意の使い易い方法によって、銅層をCoN層上に堆積してよい。一実施形態では、最初に銅の薄層をCVDにより堆積し、次に銅のより厚い層を電気化学的に堆積する。
別の実施形態では、最初に酸窒化銅層の平坦な層を堆積し、次に酸窒化銅を銅金属に還元することにより、銅層が調製される。金属層は低い表面粗さを有し、例えば、5nm未満又は1nm未満のRMS粗さを有することができる。
CoN層の使用は、平坦で接着性の層を提供し、そして例えば電子的な要素、回路、デバイス、及びシステムの製造のための高導電性で強い接着性の銅層を形成するための基板を提供する。本発明の他の特性及び利点は、次の詳細な説明及び添付図面、及び特許請求の範囲から明らかになるであろう。
別の態様では、金属含有層は、リチウム、ナトリウム、カリウム、ベリリウム、カルシウム、ストロンチウム、バリウム、スカンジウム、イットリウム、ランタン及び他のランタニド金属、チタン、ジルコニウム、ハフニウム、バナジウム、ニオブ、タンタル、モリブデン、タングステン、マンガン、レニウム、鉄、ルテニウム、コバルト、ロジウム、ニッケル、パラジウム、銀、亜鉛、カドミウム、スズ、鉛、アンチモン及びビスマスなどの金属群から選択された1つ以上の金属アミジナートの蒸気を含むガス状混合物に基板を曝露することにより、化学気相成長法で形成され得る。
1つ以上の実施形態では、ガス状混合物は、還元剤、又は酸素含有ガス若しくは窒素含有ガスなどの追加の反応体を含む。
1つ以上の実施形態では、ガス状混合物は、コバルトアミジナート並びに窒素及び水素の還元剤の蒸気源を含む。窒化コバルト層が得られる。他の金属アミジナート源を使用できた。
1つ以上の実施形態では、ガス状混合物が銅アミジナートを含む。ガス状混合物は蒸気の酸素源を含んでよく、酸化銅層が得られる。他の金属アミジナート源を使用できた。
1つ以上の実施形態では、ガス状混合物は銅アミジナートを含み、さらにガス状混合物は蒸気の酸素源及び蒸気の窒素源を含んでよい。酸窒化銅層が得られる。他の金属アミジナート源を使用できた。
さらに他の実施形態では、銅金属層を形成するための銅含有膜の堆積中又は堆積後に、水素などの還元源が与えられる。
本発明によって構造化された相互接続のトレンチ及びビアの概略断面図である。 CoN膜の電子回折パターンを示す図である。 CoN膜の単体(1,図3の左上の四分円形)及びCuO層も含む銅金属膜(2,図3の左下の四分円形)の比較用電子回折パターンと、図3の右半円に表されたCoN及びCuの層を含む二重層膜の電子回折パターン(パネル3)を示す図である。
導電性のトレンチ100及びビア(ホール)110を含む集積回路などの電子デバイスが、図1の概略断面図に示される。この構造は、当技術分野で周知の方法によって、複合絶縁層30、40、50及び60におけるトレンチ100及びビア(ホール)110の従来のフォトリソグラフィー及びエッチングにより形成され得る。
この構造は、次に下方の配線レベルを形成している絶縁領域10及び導電領域20を含む平面の上部に構成される。キャッピング層30は、典型的には窒化ケイ素又は炭化ケイ素であり、絶縁領域10及び導電領域20によって規定される面上に位置し、その次に絶縁層40、エッチング停止層50及び別の絶縁層60が続く。当技術分野で知られている絶縁材料としては、典型的にはプラズマ化学気相成長法(PECVD)で形成される二酸化ケイ素、フッ素化二酸化ケイ素及びシリコンオキシドカーバイド(酸化−炭化ケイ素)が挙げられる。典型的なエッチング停止材料としては、PECVDの窒化ケイ素、炭化ケイ素及び炭化−窒化ケイ素が挙げられる。次にトレンチ100及びホール(ビア)110が、フォトリソグラフィーによって絶縁層を通してエッチングされる。形成されると直ぐに、次に高い導電性の配線レベルを形成するために、トレンチ及びホールは銅で充填される。
所望により、このデバイスは、窒化コバルト又は銅の堆積前に追加の処理工程を受けてよい。例えば、絶縁層40、60の1つ以上が孔を含むならば、参照によりその全体で本明細書に援用される「Electrochemical and Solid State Letters,volume 7,pages G306−G308(2004)」に記述されたプロセスによって、これらの孔への入り口が封止され得る。一実施形態では、最初にこれらの層の表面は、絶縁面の少なくとも一部分上に触媒表面を形成するために、絶縁面と選択的に相互作用する触媒に曝露される。典型的な触媒は、金属又は半金属のアミド、アミジナート、アルキル、アルコキシド及びハロゲン化物を含む金属又は半金属の化合物である。金属又は半金属は、アルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、チタン、ジルコニウム又はハフニウムでよい。誘電体のより奥深くの孔が触媒に曝露されず、及び/又は曝露される時間中に触媒と反応しないように、金属又は半金属の化合物の曝露時間及び/又は反応性が選択される。次に、基板の触媒表面上にのみシリカ層を形成するために、好ましくは室温より高温で、その表面が1つ以上のシラノール化合物に曝露される。本明細書で使用されるときは、「シラノール」とは、1つ以上のヒドロキシル(OH)基と結合したケイ素原子を有する化合物の種類をいい;シラノールはアルコキシシラノール、アルコキシアルキルシラノール及びアルコキシシランジオール並びにそれらの置換誘導体を含む。この表面上の酸部位が、絶縁体の露出面上に堆積されるシリカの層へのシラノールの重合に触媒作用を及ぼす。露出した絶縁体が孔内及び孔の周囲の面を含むとき、シリカは外側の孔の上にまたがり、それらを封止する。この孔を封止するプロセスの結果が、銅の拡散に対するバリヤーが堆積され得る平坦で清浄なシリカ層である。
拡散バリヤー70は、窒化タンタル(TaN)、窒化タングステン(WN)、炭化タンタル、炭化タングステン(WC)又は窒化モリブデン(MoN)などの非晶質材料の薄層を含んでよい。典型的には、yは約1である。拡散バリヤーの非限定的な目的は、使用中における本構造体からの銅の漏れを防ぐことである。拡散バリヤーの別の非限定的な目的は、事後的に堆積されたCoN層と下地の絶縁体の間の接着を促進することである。スパッタリング又はCVDなどの任意の有用な方法によって拡散バリヤーを堆積させてよい。
CVD拡散バリヤーの更に良好な共形性のために、CVDは好ましい方法であろう。一例として、窒化タングステンのコーティングを形成するために、ビス(アルキル−イミド)ビス(ジアルキルアミド)タングステン(VI)の蒸気が、基板の加熱された面上でアンモニアガス(NH)と反応させられる。幾つかの実施形態では、ホール又はトレンチを含み得る基板上に膜を形成する態様で、この反応を行なってよい。
タングステン化合物は、一般式1
(式中、Rは、R〜Rのいずれか1つであり、好ましくは本化合物の揮発性を向上させるために選択される、アルキル基、フルオロアルキル基又は他の原子若しくは基で置換されたアルキル基を示す)を有してよい。Rは互いに同じか、又は異なってもよい。
ある実施形態では、Rは、本化合物の揮発性を向上させるために選択される、アルキル基、アリールアルキル基、アルケニルアルキル基、アルキニルアルキル基、フルオロアルキル基又は他の原子若しくは基で置換されたアルキル基を示し、RはR〜Rのいずれか1つであり、またRは互いに同じか、又は異なってもよい。
適切なビス(アルキル−イミド)ビス(ジアルキルアミド)タングステン(VI)化合物としては、一般構造式2:
の化合物によって表されるように、構造式1のアルキル基R及びRがイミド窒素に結合した三級炭素を有するものが挙げられる。
メチル基は、上記一般式2の全てのRに選択することができる。1つ以上の実施形態では、タングステン化合物は、ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI);(BuN)(MeN)Wである。
他の適切な化合物としては、式2においてR、R、R、R、R、R、R及びR10がメチル基であり、かつR及びRがエチル基であるべく選択することにより得られる化合物、すなわち、ビス(エチルメチルアミド)ビス(tert−ブチルイミド)タングステン(VI)、並びに式1においてR、R、R及びRの基がメチル基であり、かつR及びRがイソプロピル基であるべく選択することにより得られる化合物、例えば、ビス(ジメチルアミド)ビス(イソプロピルイミド)タングステン(VI)が挙げられる。2つ以上のアルキル基は環状化合物を形成するべく架橋してよく、それらの基は、ある程度の不飽和度を含んでよい(例えば、アリール、アルケニル又はアルキニルの基)。さらに、この化合物は中性又はアニオン性の配位子を含むことができる。多くの中性配位子が知られている。典型的な中性配位子としては、例えばアルケン、アルキン、ホスフィン及びCOが挙げられる。多くのアニオン性配位子が知られている。典型的なアニオン性配位子としては、メチル、メトキシ及びジメチルアミドの基が挙げられる。三級炭素を有するアルキル基の容易なβ−水素脱離反応のために、これらの構造は、低い炭素含有分を有する膜の堆積を促進すると考えられている。他の実施形態では、タングステン金属は、モリブデンに置換され得る。ビス(アルキル−イミド)ビス(ジアルキルアミド)のタングステン(VI)化合物及びモリブデン(IV)化合物は入手可能なものか、又は任意の従来法によって合成してよい。例えば、参照により本明細書に援用される国際公開第2004/007796号パンフレットを参照のこと。
堆積した窒化タングステン膜とそれが堆積する基板の間の良好な接着性を与える条件下で、窒化タングステン膜は堆積させられる。1つ以上の実施形態では、非常に均質な窒化タングステン膜の蒸着は、反応物の濃度及び反応器内の基板の位置などの条件の範囲に亘って達成される。1つ以上の実施形態では、基板は、約200℃〜500℃の比較的低い温度でコーティングされる。幾つかの実施形態では、WN膜は、約300〜500℃の温度に保たれた基板上に調製される。
他の実施形態では、窒化タングステン層は、原子層成長法(ALD)を用いて形成される。ALDプロセスは、
ビス(アルキル−イミド)ビス(ジアルキルアミド)タングステン(VI)化合物の蒸気に基板を曝露する1つ以上のサイクルを包含し、それによれば、蒸気の少なくとも一部分が自己制御式プロセスにより基板の表面に吸着し;次に、その表面が追加量のビス(アルキル−イミド)ビス(ジアルキルアミド)タングステン(VI)化合物と反応するべく調製されるように、その表面を活性化するアンモニア蒸気に基板を曝露する。典型的なALDプロセスのさらなる詳細は、参照により全体で本明細書に援用される国際公開第2004/007796号パンフレットに見出される。
所望により、ビアの底部で銅などの導電性材料20を覆うバリヤー材料の一部又は全てを除去するために、指向性イオンエッチングを使用してよい。この工程が、ビアと下地の銅層20の間のより低い抵抗を有する接続部を可能にする。図1は、拡散バリアー層が銅層20の上方で除去されたデバイスを示す。
次に、窒化コバルト(CoN)の層80がバリアー層上へ堆積される。窒化コバルト層80は、任意の使い易い方法を用いて適用してよい。通常、CoN層は、約1〜10、例えば約2〜6、又は約3〜5のxの値を有する。1つ以上の実施形態では、窒化コバルト層内の化合物はCoNである。xは整数である必要がない。
幾つかの実施形態では、CuN層は多結晶である。この構造は、同じ配向の銅粒子のエピタキシャル成長を促進する。これらのエピタキシャルに配向した銅粒子と窒化コバルトの間の強い接着は、相互接続構造体の安定性及び可使期間を向上させると考えられる。
1つ以上の実施形態では、CVDがCoN層を堆積させるのに使用されるが、スパッタリングなどの他の方法も考えられる。層90は銅の種層であり、CVDなどの化学的な方法又はスパッタリング若しくはPVDなどの物理的な方法を含む当技術分野で知られている任意の使い易い方法によって、この層を堆積させてよい。次に、当技術分野で周知の電気めっき又は無電解析出などの従来法を用いて、トレンチ100及びビア110は銅を充填される。
ある実施形態では、CoN拡散バリアー層はCVDによって堆積される。CVD法では、コバルトアミジナートが窒素及び水素の蒸気源と混ぜられ、共形窒化コバルト層を堆積させるために加熱された基板に接触させられる。CVDガス混合物の組成を変えることにより、CoN層の組成値xは調整され得る。CVDガス混合物中のNHに対するHの比を増加させると、xの値が増加する。また、180〜400℃の温度での堆積後にこの層をアニールすることにより、この組成値は調整され得る。アニール雰囲気でNHに対するHの比を増加させることも、xの値を増やす。
1つ以上の実施形態では、コバルトアミジナートは、構造式[M(AMD)](式中、MはCoであり、AMDはアミジナートであり、x=2又は3である)を有する。これらの化合物の幾つかは構造式3
(式中、R、R、R、R1’及びR2’及びR3’は、1つ以上の非金属原子から形成された基である)を有する。幾つかの実施形態では、R、R、R、R1’及びR2’及びR3’は同一であるか、又は異なってよく、かつ水素、アルキル、アリール、アルケニル、アルキニル、トリアルキルシリル又はフルオロアルキルの基から独立して選択してよい。幾つかの実施形態では、R、R、R、R1’及びR2’及びR3’は、同一であるか、又は異なってよく、それぞれ独立して1〜4個の炭素原子を有するアルキル又はハロアルキル(例えば、フルオロアルキル)又はシリルアルキル基である。1つ以上の実施形態では、コバルトアミジナートは、一般式3において、R、R、R1’及びR2’にイソプロピル基を採用し、かつR及びR3’にメチル基を採用しているものに対応するコバルト(II)ビス(N,N’−ジイソプロピルアセトアミジナート)を含む。さらに、この化合物は、中性又はアニオン性の配位子を含むことができる。多くの中性配位子が知られている。典型的な中性配位子としては、例えばアルケン、アルキン、ホスフィン及びCOが挙げられる。多くのアニオン性配位子が知られている。典型的なアニオン性配位子としては、メチル、メトキシ及びジメチルアミドの基が挙げられる。
典型的なCVD法では、ビス(N,N’−ジイソプロピルアセトアミジナト)コバルト(II)の蒸気が、約80℃の温度でアンモニア(NH)ガス及び二水素ガス(H)と混ぜられ、この蒸気混合物が、100〜300℃、好ましくは150〜250℃、最も好ましくは170〜200℃の温度に加熱しておいた部分的に完成した相互接続構造体の上に流される。CoN層が拡散バリヤー上に形成される。幾つかの実施形態では、CoN層は、約1〜4nmの厚さか、又は約2〜3nmの厚さを有する。
窒化コバルトを形成するための代替的なCVD前駆体は、室温では液体であり、R及びR1’がtert‐ブチルに対応し、かつR、R2’、R及びR3’がエチルに対応しているビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)である。液体前駆体は、固体前駆体よりも精製、取り扱い、及び気化するのが容易である。銅アミジナートは市販されているものであるか、又は任意の従来法によって形成されてよい。例えば、参照により本明細書に援用される国際公開第2004/046417号パンフレットを参照のこと。
CoN層を堆積させる他の方法を使用できる。例えば、加熱された基板が金属アミジナート及び還元性ガス/窒素含有化合物の蒸気に交互に曝露されるALDプロセスが、CoN化合物を調製するために使用され得る。さらなる詳細については、例えば、参照により全体で本明細書に援用される国際公開第2004/046417号パンフレットを参照のこと。
スパッタリングなどの物理的な方法及びCVD又は無電解析出などの化学的な方法を含む任意の使い易い方法によって、銅の導体は窒化コバルト層上に配置され得る。典型的には、化学的な方法は、より良好な共形性を提供する。
当技術分野で知られている確立した方法により銅のCVDを行なうことができる。例えば、銅(I)1,1,1,5,5,5−ヘキサフルオロアセチルアセトナートトリメチルビニルシラン(カプラセレクト(Cupraselect)(商標))は、銅のCVD源(その使用は、例えば参照により本明細書に援用される「the Journal of the Electrochemical Society, volume 145, pages 4226−4233 (1998)」に記述されている)である。銅(I)N,N’−ジ−sec−ブチルアセトアミジナートを用いる銅のCVDは、その全体で参照により本明細書に援用される国際公開第2004/046417号パンフレットに記述されている。還元性ガス(例えば、水素)の存在下の低温(200℃未満)での短い反応時間(数分間未満)が、比較的平坦な銅金属膜(数ナノメートル(nm)未満の二乗平均平方根(RMS)粗さ)を作り出す。
さらに別の実施形態では、酸窒化銅層を堆積し、得られた層を銅金属に還元することにより、銅層を形成してよい。酸化銅又は窒化銅は、金属銅よりも良好な湿潤性(より高い核生成密度を生む)及び金属銅よりも平坦でより連続的な形態の連続的な薄層を有する。銅の凝集を増やす条件下で酸窒化銅が金属銅に転化されるとき、前駆体の層の平坦な形態が、銅金属層に移され得る。粗い膜又は不連続な膜を生み出すことがある銅の凝集を避けるか、又は減らすために、堆積した薄膜の還元は低温で行なわれる。
例えば、(N,N’−ジ−sec−ブチル−アセトアミジナト)銅(I)の二量体は、アンモニア又はヒドラジンなどの窒素源及びO、水蒸気、オゾン又はペルオキシ化合物(過酸化水素など)などの酸素源と併用して、上述の銅のCVD源として使用され得る。アンモニア及び水蒸気の混合物が堆積中に反応性ガスとして使用されるとき、酸素及び窒素の両方が膜中に組み込まれる。低温(200℃未満)での短い反応時間(数分間未満)が、非常に平坦な酸窒化銅膜(0.4〜0.6ナノメートル(nm)の二乗平均平方根(RMS)粗さ)を作り出す。例えば、50℃未満(<50℃)の温度で水素プラズマに曝露することによる還元は、膜を銅金属に還元し、極めて平坦な薄膜を生成する。強い還元剤の使用は、反応の温度を下げ、平坦な金属層の形成を促進する。約1nm未満、さらには0.5〜0.8nmの二乗平均平方根粗さが可能である。溶液中の化学的還元又は電気化学的還元によって、還元を行なってもよい。例えば、中性又は塩基性の電解質溶液の電気分解により、酸窒化銅を還元してよい。
これらの方法の1つによって、銅の薄くて共形の種層が窒化コバルト上に形成されると直ぐに、トレンチ及びビアを銅で充填するために電気化学的な堆積を使用できる。電気化学的な堆積には、費用効率が高いプロセスにおいて空隙又はシームのない純粋な銅を提供できるという利点がある。銅を堆積させるための従来法が使用される。
別の態様では、適切な反応性ガスと混合された金属アミジナート蒸気のCVDによって、金属含有膜が調製され得る。リチウム、ナトリウム、カリウム、ベリリウム、カルシウム、ストロンチウム、バリウム、スカンジウム、イットリウム、ランタン及び他のランタニド金属、チタン、ジルコニウム、ハフニウム、バナジウム、ニオブ、タンタル、モリブデン、タングステン、マンガン、レニウム、鉄、ルテニウム、コバルト、ロジウム、ニッケル、パラジウム、銀、亜鉛、カドミウム、スズ、鉛、アンチモン並びにビスマスなどの金属群から選択される1つ以上の金属アミジナートの蒸気を含むガス状混合物に基板を曝露することにより、金属含有層が化学気相成長により形成され得る。
一態様では、基板の表面上に金属コーティングを形成するために、1つ以上の揮発性金属アミジナート化合物の蒸気及び還元性のガス又は蒸気を含むガス状混合物に加熱された基板を曝露することにより、金属を含む薄膜が調製される。1つ以上の実施形態では、還元性ガスとしては、水素又はギ酸が挙げられる。
一態様では、基板の表面上に金属窒化物のコーティングを形成するために、1つ以上の揮発性金属アミジナート化合物の蒸気及び窒素含有ガス又は蒸気を含むガス状混合物に加熱された基板を曝露することにより、金属窒化物を含む薄膜が調製される。1つ以上の実施形態では、窒素含有ガスとしては、アンモニア又はヒドラジンが挙げられる。
別の態様では、基板の表面上に金属酸化物のコーティングを形成するために、1つ以上の揮発性金属アミジナート化合物の蒸気及び酸素含有ガス又は蒸気を含むガス状混合物に加熱された基板を曝露することにより、金属酸化物を含む薄膜が調製される。1つ以上の実施形態では、酸素含有ガスとしては、水、酸素、オゾン又は過酸化水素が挙げられる。
幾つかの実施形態では、金属酸化物が酸化銅であり、1つ以上の揮発性銅アミジナート化合物の蒸気及び酸素含有ガス又は蒸気を含むガス状混合物に加熱された基板を曝露することにより、酸化銅の薄膜が調製される。例えば、金属アミジナート前駆体は、(N,N’−ジ−sec−ブチル−アセトアミジナト)銅(I)の二量体である。
幾つかの実施形態では、金属酸化物が酸窒化銅であり、1つ以上の揮発性銅アミジナート化合物の蒸気及び酸素含有ガス又は蒸気及びアンモニア又はヒドラジンなどの窒素含有蒸気を含むガス状混合物に加熱された基板を曝露することにより、酸窒化銅の薄膜が調製される。例えば、金属アミジナート前駆体は、(N,N’−ジ−sec−ブチル−アセトアミジナト)銅(I)の二量体である。
1つ以上の実施形態では、形成されたままの金属酸化物又は金属酸窒化物の薄膜を還元することにより、金属の薄膜が調製される。例えば、銅金属の薄膜を得るために、水素プラズマ、二水素ガス又はギ酸の蒸気などの還元剤によって、酸化銅及び酸窒化銅の薄膜は還元されてよい。
1つ以上の実施形態では、揮発性金属(I)アミジナート、[M(I)(AMD)](式中、x=2、3)は、蒸着のための前駆体である。これらの化合物の幾つかは、二量体の構造式4
(式中、R、R、R、R’、R2’及びR3’は、1つ以上の非金属原子から形成された基である)を有する。幾つかの実施形態では、R、R、R、R’、R2’及びR3’は、異なってよく、かつ水素、アルキル、アリール、アルケニル、アルキニル、トリアルキルシリル又はフルオロアルキルの基から独立して選択されてよい。幾つかの実施形態では、R、R、R、R’、R2’及びR3’は、それぞれ独立して、1〜4個の炭素原子を含むアルキル又はフルオロアルキル又はシリルアルキルの基である。一価金属の非限定的な例としては、銅(I)、銀(I)、金(I)、及びイリジウム(I)が挙げられる。1つ以上の実施形態では、金属アミジナートが銅アミジナートであり、この銅アミジナートは、一般式1においてR、R、R1’及びR2’にイソプロピル基を採用し、かつR及びR3’にメチル基を採用しているものに対応する銅(I)N,N’−ジイソプロピルアセトアミジナートを含む。1つ以上の実施形態では、この金属(I)アミジナートは、一般式[M(I)(AMD)]を有する三量体である。さらに、この化合物は、中性配位子を含むことができる。多くの中性配位子が知られている。典型的な中性配位子としては、例えばアルケン、アルキン、ホスフィン及びCOが挙げられる。
1つ以上の実施形態では、蒸着のための二価金属の前駆体としては、揮発性金属(II)のビス−アミジナート、[M(II)(AMD)(式中、x=l、2)が挙げられる。これらの化合物は、単量体の構造式5
(式中、R、R、R、R’、R2’及びR3’は、1つ以上の非金属原子から形成された基である)を有してよい。1つ以上の実施形態では、この構造式の二量体、例えば[M(II)(AMD)を使用してもよい。幾つかの実施形態では、R、R、R、R’、R2’及びR3’は、水素、アルキル、アリール、アルケニル、アルキニル、トリアルキルシリル、又はフルオロアルキルの基から独立して選択されてよい。幾つかの実施形態では、R、R、R、R’、R2’及びR3’は、それぞれ独立して、1〜4個の炭素原子を含むアルキル又はフルオロアルキル又はシリルアルキルの基である。二価金属の非限定的な例としては、コバルト、鉄、ニッケル、マンガン、ルテニウム、亜鉛、チタン、バナジウム、ユウロピウム、カルシウム、ストロンチウム、バリウム、スズ及び鉛が挙げられる。1つ以上の実施形態では、金属(II)アミジナートはコバルトアミジナートであり、このコバルトアミジナートは、一般式2においてR、R、R1’及びR2’にイソプロピル基を採用し、かつR及びR3’にメチル基を採用しているものに対応するコバルト(II)ビス(N、N’−ジイソプロピルアセトアミジナート)を含む。さらに、この前駆体は中性配位子を含むことができる。多くの中性配位子が知られている。典型的な中性配位子としては、例えばアルケン、アルキン、ホスフィン及びCOが挙げられる。
1つ以上の実施形態では、三価金属の蒸着のための前駆体としては、揮発性金属(III)のトリス−アミジナート、M(III)(AMD)が挙げられる。典型的には、これらの化合物は、単量体の構造式6
(式中、R、R、R、R’、R2’、R3’、R1’’、R2’’及びR3’’は、1つ以上の非金属原子から形成された基である)を有する。幾つかの実施形態では、R、R、R、R’、R2’、R3’、R1’’、R2’’及びR3’’は、水素、アルキル、アリール、アルケニル、アルキニル、トリアルキルシリル、ハロゲン又は部分的にフッ素化されたアルキルの基から独立して選択されてよい。幾つかの実施形態では、R、R、R、R’、R2’、R3’、R1’’、R2’’及びR3’’は、それぞれ独立して、1〜4個の炭素原子を含むアルキル基である。三価金属の非限定的な例としては、ランタン、プラセオジム及び他のランタニド金属、イットリウム、スカンジウム、チタン、バナジウム、ニオブ、タンタル、鉄、ルテニウム、コバルト、ロジウム、イリジウム、アンチモン並びにビスマスが挙げられる。1つ以上の実施形態では、この金属(III)アミジナートはランタンアミジナートであり、このランタンアミジナートは、一般式6においてR、R、R1’、R2’、R1’’及びR2’’にtert−ブチル基を採用し、かつR、R3’及びR3’’にメチル基を採用しているものに対応したランタン(III)トリス(N,N’−ジ−tert−ブチルアセトアミジナート)を含む。さらに、この前駆体は中性配位子を含むことができる。多くの中性配位子が知られている。典型的な中性配位子としては、例えばアルケン、アルキン、ホスフィン及びCOが挙げられる。
本明細書で使用されるときは、単量体と同じ金属:アミジナートの比を有するが、本化合物内の金属/アミジナートのユニットの総数を変えている金属アミジナートは、単量体化合物の「オリゴマー(多量体)」という。したがって、単量体化合物M(II)AMDのオリゴマーとしては、[M(II)(AMD)(式中、xは2、3などである)が挙げられる。同様に、単量体化合物M(I)AMDのオリゴマーとしては、[M(I)AMD](式中、xは2、3などである)が挙げられる。
実施例1
WN、CoN及びCuのCVD
上部にSiOの絶縁層を有するシリコンウエハーを基板として使用した。トレンチ及びホールをSiO層の一部の領域でエッチングした。
約0.05Torrのビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)、0.5Torrのアンモニア及び0.5Torrの窒素の蒸気混合物を390℃の温度で基板に1分間曝すことにより、CVDによって窒化タングステンを堆積した。約2nm厚のWNの拡散バリヤーを堆積した。
約0.03Torrのビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)、0.2Torrのアンモニア、0.3Torrの水素及び0.5Torrの窒素の蒸気混合物を186℃の温度で基板に4分間曝すことにより、CVDによって窒化コバルトを堆積した。約2nm厚のCoNを含む層を堆積した。このCoN材料の分析のために、より厚い層をガラス状炭素基板上に堆積し、次にラザフォード後方散乱分析(RBS)に供した。堆積後の大気への曝露に由来する少量の酸素とともに、コバルト及び窒素が、約4(〜4)の原子比率xを有する膜中で検出された。約20nm厚の同様に堆積されたCoN膜を透過電子顕微鏡に配置したところ、図2に示された電子回折パターンが得られた。観測された回折リングは、コバルト原子が銅金属中の銅原子と同じ位置にあり、かつ窒素原子が体心に位置する最密面心立方構造によって、全て指標化され得る。また、CoNとCuの構造の一致を確認するために、20nmのCoN及び20nmのCuの二重層膜を電子回折に供した。CoN膜の単体(1,図3の左上の四分円形)及びCuO層も含む銅金属膜(2,図3の左下の四分円形)の比較用電子回折パターンとともに、生成した電子回折パターンが、図3の右半円(パネル3)に表される。これらの電子回折パターンの全3個の間の良好な一致は、CuNとCuの構造の間に良好な一致点があることを裏付ける。
約0.4Torrの(N,N’−ジ−sec−ブチル−アセトアミジナト)銅(I)の二量体、0.8Torrの水素及び0.8Torrの窒素の蒸気混合物を186℃の温度で基板に2分間曝露することにより、銅の種層を形成した。約7nm厚の銅の層を堆積させた。
これらの層のシート抵抗は、基板の平面で測定されたときに、30オーム/スクエア(Ω/□)である。これらの種層上では、その表面上並びにトレンチ及びホール内における追加の銅の電気化学的な堆積を、既知の技術を用いて行うことができる。CoN層が酸素及び水の拡散に対する良好なバリヤーであることが分かった。
比較例
WN、Co及びCuのCVD
コバルト金属が窒化コバルトの代わりに堆積されること以外は、実施例1を繰り返す。実施例1に従って事前に形成されたWN上にコバルト金属を堆積した。240℃の基板温度での約0.03Torrのビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)、0.5Torrの水素及び0.5Torrの窒素の蒸気混合物からの20分間のCVDにより、Coを形成した。約2nm厚のCoの層をWN上に堆積した。Coを堆積した後、銅を実施例1に記載のようにして堆積した。
これらの層のシート抵抗は、実施例1で得られた層より約10倍高い。この比較は、より導電性の銅の種層を核にすることについて、コバルト金属に優るCoNの予期せぬ利点を示す。
実施例2
WN、CoN+CoN及びCuのCVD
CoNの堆積中、0.3Torrのアンモニア、0.2Torrの水素を使ったこと以外は実施例1を繰り返す。この堆積は、約2nm厚のCoN層を製造するのに十分なほど長い4分間で行なわれた。同一条件下で製造されたより厚い膜上のRBS分析は、窒素に対するコバルトの比xが3〜4であることを決定した。電子回折は、この膜の主要な相は、一部の六方晶形のCoNを伴ったCoNであることを確認した。
銅の種層の堆積後、シート抵抗は実施例1で製造された層より約2.4倍高かった。この実施例は、CoN+CoNの混合物が、純粋なCoより導電性であるが、CoNと同様に純粋な導電性ではない更に導電性の銅の膜を形成することを示す。
実施例3
WN、CoN及びCuのCVD
CoNの堆積中に0.5Torrのアンモニアを使い、かつ水素を全く使わないこと以外は実施例1を繰り返す。この堆積は、約2nm厚のCoN層を作るのに十分なほど長い4分間で行なわれた。同一条件下で作られたより厚い膜上のRBS分析は、窒素に対するコバルトの比xが約3であることを決定した。電子回折は、膜の構造が六方晶形のCoNであることを確認した。
銅の種層の堆積後、シート抵抗は、実施例1で作られた層より約4倍高かった。この実施例は、CoNが、純粋なCoより導電性であるがCoNほど導電性ではない膜を形成することを示す。
実施例4
WN、CoN及びCuのCVD
銅層が(1,1,1,5,5,5−ヘキサフルオロアセトアセトナト)銅(I)トリメチルビニルシランから堆積されること以外は実施例1を繰り返す。100℃の基板温度での約0.4Torrの(1,1,1,5,5,5−ヘキサフルオロアセトアセトナト)銅(I)トリメチルビニルシラン、1Torrの水素及び2Torrの窒素の蒸気混合物からの30秒間のCVDにより、銅の種層が形成される。約7nm厚の銅層を堆積させる。
これらの実施例で堆積された銅層は、窒化コバルトに対する強い接着を示す。当技術分野で知られている手法によって、追加の銅がこれらの銅の薄層上へ電気めっきされ得る。マイクロエレクトロニクス・デバイスに相互接続部を提供するために、電気めっきされた構造体を研磨できる。
実施例5
次の4つの反応により、ビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)の合成が行なわれた。全ての反応及び操作は、不活性雰囲気のボックス又は標準的なシュレンク(Schlenk)法のいずれかを用いて純窒素雰囲気下で行なわれた。テトラヒドロフラン(THF)、塩化メチレン(CHCl)及びペンタンは、イノベーティブ・テクノロジー社の溶媒精製システムを用いて乾燥され、4Å(4×10−10m)モレキュラーシーブの上で保管された。ブチルチリウム、塩化tert‐ブチル、エチルアミン、プロピオニトリル、CoCl及びFeClを受け取ったままの状態で使用した。
(a)塩化tert‐ブチル、エチルアミン及びプロピオニトリルを塩化鉄でカップリングすることによるN−tert−ブチル−N’−エチル−プロピオンアミジンの合成
0.30モル(50g)の無水FeClを250mLの乾燥CHClに懸濁させた。2分後、この溶液を−40℃に冷却し、21.4mL(0.30モル)の無水プロピオニトリルを磁気攪拌しながら一度で加えた。塩化鉄をこの溶液に入れると、媒質の色が赤黒くなった。この溶液を−78℃に冷却し;次に無水の塩化tert‐ブチル(33ml、0.30モル)を一度で加えた。茶褐色の沈殿物が数分間以内に形成された。推定するには、それはN−tert−ブチルアセトニトリリウムテトラクロロフェラートであった。次にこの反応媒質を−78℃に保った。エチルアミン(13.5g、0.30モル)は縮合され、攪拌された反応混合物になり;発熱反応が確保された。それが大気温度に温められている間は攪拌し続けた。次に、それを−10℃に冷却し、氷浴で攪拌されている0.25Lの5MのNaOH中に注いだ。得られた混合物をCHClで2回抽出した。有機相を100mlの水で2回洗浄した。この有機溶液をMgSO上で乾燥し、次に蒸発させて、淡黄色の液体を得た。次に、そのようにして得られた粗アミジンを蒸留(40℃/0.06Torr)により精製し、無色の液体を得た。
収率38g、81%。H NMR(CDCl,25℃,ppm):1.0−1.1(2t,6H,CHCH)、1.30(s,9H,C(CH)、2.03(q,2Η,CCHCH)、3.16(q,4H,NCHCH)。
(b)N−tert−ブチル−N’−エチル−プロピオンアミジンとブチルチリウム及び塩化コバルト(II)の反応によるビス(N−tert‐ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)の合成
ブチルリチウムの溶液(1.6Mヘキサン溶液、81mL、0.13モル)を、−78℃のTHF液0.2L中のN−tert‐ブチル−N’−エチル−プロピオンアミジン(20.3g、0.13モル)の溶液に一滴ずつ滴下した。この混合物を室温に温め、4時間攪拌した。次に、得られた溶液を、室温のTHF液0.1L中の塩化コバルト(II),CoCl(8.44g、0.065モル)の溶液に加えた。この反応混合物を窒素雰囲気下で12時間攪拌した。次に、全ての揮発物を減圧下で除去し、生成した固体をペンタンで抽出した。ペンタン抽出物をガラス・フリット上のセライト(登録商標)のパッドを介してろ過した。ペンタンを減圧下で除去して、暗緑色の油を得た。90℃(30mTorr)の蒸留によって、純粋な暗緑色の液体化合物を得た。
収率、34g、71%。 mp:−17℃. 1H NMR(C,25℃,ppm):−100.7(br,3H)、−30.6(br,9H)、86.7(br,3H)、248.5(br,2H)、268.8(br,2H)。 CoC1838の計算分析値:C,58.52;H,10.37;N,15.16、 実測値:C,58.36;H,10.66;N,14.87。
実施例6
酸化コバルトのCVD
200℃の基板温度におけるビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)、水蒸気及び窒素ガスの蒸気混合物からのCVDで、酸化コバルト(II),CoOの膜を形成した。
実施例7
酸化銅のCVD及びCu種層の形成
140℃の基板温度における0.4Torrの(N,N’−ジ−sec−ブチル−アセトアミジナト)銅(I)の二量体、4Torrの水蒸気及び4Torrの窒素ガスの蒸気混合物からのCVDで、酸化銅(I),CuOの膜を形成した。還元プロセス中の膜及び基板の温度を約50℃に上げるのに十分なほど強い水素プラズマによる1分間の還元によって、CuOを銅金属に変えることができた。
実施例8
酸窒化銅のCVD及びCu種層の形成
160℃の基板温度における0.4Torrの(N,N’−ジ−sec−ブチル−アセトアミジナト)銅(I)の二量体の蒸気、3Torrの水蒸気、1Torrのアンモニアガス及び4Torrの窒素ガスの蒸気混合物からのCVDで、酸窒化銅(I)の膜を形成した。RBS分析が、おおよそCu0.70.20.1の組成を与えた。還元プロセス中の膜及び基板の温度を約50℃に上げるのに十分なほど強い水素プラズマによる1分間の還元によって、酸窒化銅膜を銅金属膜に変えることができた。この銅金属膜は、非常に平坦で約0.5nmのRMS粗さを有した。
実施例9
鉄のCVD
230℃の基板温度におけるビス(N−tert‐ブチル−N’−エチル−プロピオンアミジナト)鉄(II)、水素及び窒素ガスの蒸気混合物からのCVDで、金属鉄の膜を形成した。
実施例10
窒化鉄のCVD
180℃の基板温度でのビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)鉄(II)、アンモニア及び窒素ガスの蒸気混合物からのCVDで、導電性の窒化鉄,FeNの膜を形成した。
実施例11
酸化鉄のCVD
150℃の基板温度での約0.03Torrのビス(N−tert‐ブチル−N’−エチル−プロピオンアミジナト)鉄(II)、0.5Torrの水蒸気及び1.5Torrの窒素ガスの蒸気混合物からのCVDが、酸化鉄(II),FeOの膜を形成した。
実施例12
マンガンのCVD
400℃の基板温度での約0.03Torrのビス(N,N’−ジイソプロピルアセトアミジナト)マンガン(II)、1Torrの水素ガス及び1Torrの窒素ガスの蒸気混合物からのCVDで、導電性のマンガン金属の膜を形成した。その抵抗率は390μΩ・cmである。
実施例13
酸化マンガンのCVD
160℃の基板温度での約0.03Torrのビス(N,N’−ジイソプロピルアセトアミジナト)マンガン(II)、0.5Torrの水蒸気及び1.5Torrの窒素ガスの蒸気混合物からのCVDで、酸化マンガン(II),MnOの膜を形成した。
実施例14
窒化マンガンのCVD
200℃の基板温度での約0.03Torrのビス(N,N’−ジイソプロピルアセトアミジナト)マンガン(II)、0.5Torrのアンモニア及び1.5Torrの窒素ガスの蒸気混合物からのCVDで、導電性の窒化マンガン(II),Mnの膜を形成した。
実施例15
酸化バナジウムのCVD
250℃の基板温度でのトリス(N,N’−ジイソプロピルアセトアミジナト)バナジウム(III)、水蒸気及び窒素ガスの蒸気混合物からのCVDで、導電性の酸化バナジウム(III),Vの膜を形成した。
実施例16
酸化イットリウムのCVD
280℃の基板温度でのトリス(N,N’−ジイソプロピルアセトアミジナト)イットリウム(III)、水蒸気及び窒素ガスの蒸気混合物からのCVDで、電気絶縁性の酸化イットリウム(III),Yの膜を形成した。
実施例17
酸窒化銅の堆積
CuONの堆積が、36mmの内径(ID)を有する管状炉型反応器内で行なわれた。銅(I)N,N’−ジ−sec−ブチルアセトアミジナート([Cu(Bu−Me−amd)])が、Nキャリアガスの40sccmのバブリングによって輸送されるCu前駆体として使用される。バブラー温度は、Cu前駆体の融点が77℃であるためにCu前駆体が液相として保たれる130℃であった。全てのガス管線、バブラー及びバルブは、良好な温度均一性を維持するオーブン内に配置された。いずれのキャリアガスもない室温で容器から蒸発させられる酸素源として、HOを使用した。測定されたN流量によってチャンバの圧力増加と比較することにより調整されたニードルバルブによって、水蒸気の流量を制御した。NHを窒素源(その流量を質量流量コントローラーで制御した)として供給した。反応性ガス(HO及びNH)の全流量を40sccmに保ち、HO:NHの比を40:0、30:10、20:20、10:30又は0:40の値に設定した。反応管(36mmのID)に入れて十分な混合を行なう直前に、この反応ガスを小さい(5mmのID)管内でCu前駆体の蒸気と混ぜた。8Torrの全チャンバ圧下、140〜220℃の基板温度でこの膜を堆積した。基板を室温〜50℃程度の温度に加熱するH遠隔プラズマによって、この膜を還元した。トロイダルプラズマ発電機(アストロン(ASTRON(登録商標))i型AX7670,MKS)が、プラズマ点火の際に180sccmのAr、そして200sccmのH(解離励起において還元剤として機能する)を供給した。還元時間を30〜180秒に変えた。100nmの熱酸化物を有するSiウエハーを基板として使用した。20nm厚にスパッタリングすることによりRuを堆積させて、CVD前に大気に曝露した。
堆積したままのCuON及び還元された膜の表面形態が、原子間力顕微鏡(アサイラム(Asylum)社のMFP−3D AFM)によって評価された。堆積した膜の厚さ及び組成が、2MeVのHeラザフォード後方散乱分光法(RBS)を用いて測定された。フォトリソグラフィー及び希硝酸内のエッチングによりストライプのパターンを形成した後に、AFMによりCuON及び還元されたCu膜の物理的な厚さを測定した。1(酸):40(水)又は1:10の体積比で脱イオン水で希釈された硝酸によって、CuON及びCuの膜をそれぞれエッチングした。4点プローブ(ミラー・デザイン・アンド・エキップメント(Miller Design & Equipment)社のFPP−5000)によって、還元されたCu膜の抵抗値を評価した。基板として50nm厚のSi膜のTEMグリッド(TED PELLA社製、製造番号21500−10)を用いるTEM回折(ジョエル(JEOL)社のJEL2010 TEM)によって、堆積したままのCu酸窒化物及び還元された膜の相を評価した。
CuONの形態は堆積温度に依存した。この膜は、1nm未満(<1nm)のRMS粗さを有し、平坦であった。最も平坦な膜は160℃で堆積されており、Si基板の粗さよりもほんの僅かに大きな表面粗さを有した。CuONの表面粒径は、180℃の堆積温度までは約20nmで一定であった。220℃では、幾つかの更に大きな粒子が観測されたが、この膜は未だに平坦な表面形態(1.04nmのRMS粗さ)と、かなり小さい粒径(約40nm)を有した。したがって、CuONの種層は、約140℃〜約180℃の温度範囲に亘って、そして更に高い温度でさえも、良好な表面形態のままで堆積され得る。非晶質炭素の基板上に堆積した膜のRBSによって測定されたときに、Cu、O及びNの原子百分率が140℃、180℃及び200℃で比較された。この組成は、その温度範囲を超えても余り変わらず、これらの堆積条件下ではCuONが安定な相であること示している。一方、CuO及びCuNなどの銅化合物の形態は、堆積温度に対して更に敏感であった。CuONは堆積温度による影響がほとんど無いようなので、平坦なCuON膜がワイド・プロセス・ウィンドウ上に得られ、均質な組成及び形態が得られた。
当然ながら、当業者は、本技術分野への貢献の理念及び範囲を逸脱することなく、本発明のプロセスに様々な改良及び付加を行なってよいことが認識されている。それ故に、本明細書により提供されることを目的とした保護対象は、特許請求の範囲の対象及び本発明の適正な範囲内の全ての均等まで拡張されるものと認められることを理解されたい。

Claims (37)

  1. 相互接続構造体を規定する、基板表面上に位置する共形窒化コバルト層;及び
    前記窒化コバルト層の上に位置する銅含有導電層
    を含む、基板上に形成された集積回路の相互接続構造体。
  2. さらに、窒化コバルト層と基板の間に拡散バリヤーを含む、請求項1に記載の構造体。
  3. 窒化コバルトが、CoNの組成(xは約1〜10である)を有する、請求項1に記載の構造体。
  4. 窒化コバルトが、CoNの組成(xは約2〜6である)を有する、請求項1に記載の構造体。
  5. 窒化コバルトが、CoNの組成(xは約3〜5である)を有する、請求項1に記載の構造体。
  6. 拡散バリヤーが、窒化タンタル、炭化タンタル、窒化タングステン、炭化タングステン及びこれらの混合物からなる群から選択される、請求項2に記載の構造体。
  7. 窒化コバルト層が、化学蒸着された層である、請求項1に記載の相互接続構造体。
  8. 拡散バリアー層が、化学蒸着された層である、請求項2に記載の相互接続構造体。
  9. 銅含有導電層の少なくとも一部分が、化学蒸着された層である、請求項1に記載の相互接続構造体。
  10. 銅含有導電層の少なくとも一部分が、電気化学的に堆積された層である、請求項1に記載の相互接続構造体。
  11. コバルトアミジナートの蒸気を含むガス状混合物からの化学気相成長によって、基板上に共形窒化コバルト層を堆積して、集積回路構造の相互接続構造体を規定する工程;及び
    窒化コバルト層の上に、銅を含む導電層を堆積する工程
    を含む、基板上に形成された集積回路の相互接続構造体の製造方法。
  12. コバルトアミジナートが、式[Co(AMD)]、及び構造式
    (式中、R、R、R、R’、R’及びR’は、水素、アルキル、アリール、アルケニル、アルキニル、トリアルキルシリル若しくはフルオロアルキルの基又は他の非金属原子若しくは非金属基から独立して選択されてよい)を有する、請求項11に記載の方法。
  13. コバルトアミジナートが、ビス(N−tert‐ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)である、請求項12に記載の方法。
  14. さらにガス状混合物が還元剤を含む、請求項11に記載の方法。
  15. 還元剤が二水素である、請求項14に記載の方法。
  16. 銅含有導電層の少なくとも一部分が、化学蒸着により堆積される、請求項11に記載の方法。
  17. 銅含有導電層の少なくとも一部分が、電気化学的な堆積により堆積される、請求項16に記載の方法。
  18. 銅含有導電層の少なくとも一部分が、銅アミジナートの蒸気を含むガス状混合物から堆積される、請求項11に記載の方法。
  19. 銅アミジナートが、式[Cu(AMD)]、及び構造式
    (式中、Mは銅であり、R、R、R、R’、R’及びR’は、水素、アルキル、アリール、アルケニル、アルキニル、トリアルキルシリル若しくはフルオロアルキルの基又は他の非金属原子若しくは非金属基から独立して選択されてよい)を有する、請求項18に記載の方法。
  20. ガス状混合物が酸素含有化合物を含み、酸化銅が第一の堆積工程で堆積される、請求項18に記載の方法。
  21. ガス状混合物が酸素含有化合物及び窒素含有化合物を含み、酸窒化銅が第一の堆積工程で形成される、請求項18に記載の方法。
  22. さらに酸窒化銅を還元剤に曝露する工程を含む、請求項21に記載の方法。
  23. さらに酸化銅を還元剤に曝露する工程を含む、請求項20に記載の方法。
  24. リチウム、ナトリウム、カリウム、ベリリウム、カルシウム、ストロンチウム、バリウム、スカンジウム、イットリウム、ランタン及び他のランタニド金属、チタン、ジルコニウム、ハフニウム、バナジウム、ニオブ、タンタル、モリブデン、タングステン、マンガン、レニウム、鉄、ルテニウム、コバルト、ロジウム、ニッケル、パラジウム、銀、亜鉛、カドミウム、スズ、鉛、アンチモン並びにビスマスの金属群から選択された1つ以上の金属アミジナートの蒸気を含むガス状混合物に基板を曝露する工程
    を含む、化学気相成長により金属含有層を形成する方法。
  25. ガス状混合物が窒素含有ガスを含む、請求項24に記載の方法。
  26. 窒素含有ガスがアンモニア又はヒドラジンである、請求項25に記載の方法。
  27. さらにガス状混合物が還元剤を含む、請求項26に記載の方法。
  28. 還元剤が二水素ガスである、請求項27に記載の方法。
  29. 金属アミジナートがコバルトアミジナートであり、金属含有層が窒化コバルトを含む、請求項28に記載の方法。
  30. ガス状混合物が還元剤を含む、請求項24に記載の方法。
  31. 還元剤が二水素である、請求項30に記載の方法。
  32. 金属アミジナートがコバルトアミジナートであり、金属含有層が基本的にコバルト金属からなる、請求項31に記載の方法。
  33. ガス状混合物が酸素含有ガスを含む、請求項24に記載の方法。
  34. 酸素含有ガスが、酸素分子(O)、水蒸気、オゾン、又はペル化合物を含む、請求項33に記載の方法。
  35. 金属含有層が金属酸化物を含む、請求項34に記載の方法。
  36. 金属酸化物が酸化コバルトを含む、請求項35に記載の方法。
  37. ビス(N−tert−ブチル−N’−エチル−プロピオンアミジナト)コバルト(II)を含む組成物。
JP2013167694A 2007-04-09 2013-08-12 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法 Active JP6009419B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US92248507P 2007-04-09 2007-04-09
US60/922,485 2007-04-09
US99802307P 2007-10-05 2007-10-05
US60/998,023 2007-10-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010503186A Division JP5571547B2 (ja) 2007-04-09 2008-04-09 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法

Publications (2)

Publication Number Publication Date
JP2013239745A true JP2013239745A (ja) 2013-11-28
JP6009419B2 JP6009419B2 (ja) 2016-10-19

Family

ID=39853974

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010503186A Active JP5571547B2 (ja) 2007-04-09 2008-04-09 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
JP2013167694A Active JP6009419B2 (ja) 2007-04-09 2013-08-12 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
JP2014092724A Expired - Fee Related JP5890463B2 (ja) 2007-04-09 2014-04-28 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010503186A Active JP5571547B2 (ja) 2007-04-09 2008-04-09 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014092724A Expired - Fee Related JP5890463B2 (ja) 2007-04-09 2014-04-28 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法

Country Status (8)

Country Link
US (2) US7973189B2 (ja)
EP (2) EP2857549A3 (ja)
JP (3) JP5571547B2 (ja)
KR (2) KR101629965B1 (ja)
CN (2) CN103151335B (ja)
AU (1) AU2008347088A1 (ja)
TW (1) TWI480977B (ja)
WO (1) WO2009088522A2 (ja)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
JP2009529579A (ja) 2006-03-10 2009-08-20 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド チタネート、ランタネート及びタンタレート誘電体の膜の原子層堆積及び化学蒸着のための前駆体組成物
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
US20090208637A1 (en) * 2006-06-15 2009-08-20 Advanced Technology Materials, Inc. Cobalt precursors useful for forming cobalt-containing films on substrates
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
JP5571547B2 (ja) 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
WO2008128141A2 (en) * 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
SG178736A1 (en) * 2007-10-31 2012-03-29 Advanced Tech Materials Amorphous ge/te deposition process
US20100279011A1 (en) * 2007-10-31 2010-11-04 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US7932176B2 (en) * 2008-03-21 2011-04-26 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
US8105937B2 (en) * 2008-08-13 2012-01-31 International Business Machines Corporation Conformal adhesion promoter liner for metal interconnects
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US7727883B2 (en) * 2008-09-30 2010-06-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
JP2010209425A (ja) * 2009-03-11 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
US8574675B2 (en) * 2009-03-17 2013-11-05 Advanced Technology Materials, Inc. Method and composition for depositing ruthenium with assistive metal species
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
WO2011007323A1 (en) * 2009-07-14 2011-01-20 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of group iv metal-containing films at high temperature
JP5593320B2 (ja) * 2009-09-02 2014-09-24 株式会社アルバック Co膜の形成方法
TW201125028A (en) * 2009-09-02 2011-07-16 Ulvac Inc Method for forming co film and method for forming cu wiring film
JP5225957B2 (ja) * 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
JPWO2011040385A1 (ja) * 2009-09-29 2013-02-28 東京エレクトロン株式会社 Ni膜の成膜方法
WO2011050073A1 (en) * 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
KR101706809B1 (ko) 2010-03-26 2017-02-15 엔테그리스, 아이엔씨. 게르마늄 안티몬 텔루라이드 물질 및 이를 포함하는 장치
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US9177917B2 (en) * 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
CN105503618A (zh) 2010-11-02 2016-04-20 宇部兴产株式会社 (酰胺氨基烷烃)金属化合物及使用所述金属化合物制备含金属的薄膜的方法
US9790378B2 (en) 2010-12-23 2017-10-17 President And Fellows Of Harvard College Vapor source using solutions of precursors in terpenes
US8525232B2 (en) 2011-08-10 2013-09-03 International Business Machines Corporation Semiconductor structure having a wetting layer
WO2013095539A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Chemically altered carbosilanes for pore sealing applications
JP5919882B2 (ja) * 2012-02-27 2016-05-18 宇部興産株式会社 コバルト化合物の混合物、及び当該コバルト化合物の混合物を用いたコバルト含有薄膜の製造方法
US8916483B2 (en) * 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
JP5842687B2 (ja) * 2012-03-15 2016-01-13 宇部興産株式会社 コバルト膜形成用原料及び当該原料を用いたコバルト含有薄膜の製造方法
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
JPWO2013191065A1 (ja) * 2012-06-18 2016-05-26 東京エレクトロン株式会社 マンガン含有膜の形成方法
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20140206190A1 (en) * 2013-01-23 2014-07-24 International Business Machines Corporation Silicide Formation in High-Aspect Ratio Structures
US9029258B2 (en) * 2013-02-05 2015-05-12 Lam Research Corporation Through silicon via metallization
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
TWI609095B (zh) * 2013-05-30 2017-12-21 應用材料股份有限公司 用於氮化錳整合之方法
US9595469B2 (en) * 2013-11-04 2017-03-14 Infineon Technologies Ag Semiconductor device and method for producing the same
US9685347B2 (en) * 2013-11-04 2017-06-20 Infineon Technologies Ag Semiconductor device and method for producing the same
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9240374B2 (en) * 2013-12-30 2016-01-19 Globalfoundries Singapore Pte. Ltd. Semiconductor device and method of forming thereof
JP6227440B2 (ja) * 2014-02-24 2017-11-08 東京エレクトロン株式会社 凹部にコバルトを供給する方法
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
FR3025396A1 (fr) 2014-09-02 2016-03-04 St Microelectronics Tours Sas Procede de fabrication d'un element de connexion electrique
US9466563B2 (en) 2014-12-01 2016-10-11 Stmicroelectronics, Inc. Interconnect structure for an integrated circuit and method of fabricating an interconnect structure
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10347825B2 (en) 2017-02-17 2019-07-09 International Business Machines Corporation Selective deposition and nitridization of bottom electrode metal for MRAM applications
US20180340255A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Cobalt Oxide Film Deposition
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
CN107195582B (zh) * 2017-07-03 2019-04-12 北方工业大学 一种扩散阻挡层制备方法及铜互连结构
CN107469853B (zh) * 2017-08-23 2019-11-29 中国科学技术大学先进技术研究院 一种Co4N纳米片及其制备方法和应用
CN107768348B (zh) * 2017-09-25 2019-07-12 江苏时恒电子科技有限公司 一种用于铜互联的导电阻挡层材料及其制备方法
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10658315B2 (en) * 2018-03-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layer metallic structure and method
TW202021046A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 形成具有嵌入式阻障層的穿孔之方法
CN110970350A (zh) * 2018-09-28 2020-04-07 长鑫存储技术有限公司 包含α-Ta层的扩散阻挡层的制备方法以及复合扩散阻挡层
CN109504950A (zh) * 2019-01-16 2019-03-22 江南大学 一种原子层沉积法制备FexN薄膜的方法
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法
US11817389B2 (en) 2020-03-24 2023-11-14 International Business Machines Corporation Multi-metal interconnects for semiconductor device structures
TWI832407B (zh) * 2022-09-01 2024-02-11 財團法人金屬工業研究發展中心 電漿輔助退火系統及其退火方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02250955A (ja) * 1989-03-24 1990-10-08 Raimuzu:Kk 立方晶コバルト薄膜の形成方法
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
JP2005002099A (ja) * 2003-04-22 2005-01-06 Air Products & Chemicals Inc 金属含有膜のための前駆体
JP2006511716A (ja) * 2002-11-15 2006-04-06 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 金属アミジナートを用いる原子層の析出

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9315975D0 (en) 1993-08-02 1993-09-15 Ass Octel Organometallic complexes of gallium and indium
JPH09232435A (ja) * 1996-02-22 1997-09-05 Oki Electric Ind Co Ltd 半導体集積回路
US6133139A (en) * 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
JP3686248B2 (ja) * 1998-01-26 2005-08-24 株式会社日立製作所 半導体集積回路装置およびその製造方法
US6500750B1 (en) * 1999-04-05 2002-12-31 Motorola, Inc. Semiconductor device and method of formation
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6569699B1 (en) * 2000-02-01 2003-05-27 Chartered Semiconductor Manufacturing Ltd. Two layer mirror for LCD-on-silicon products and method of fabrication thereof
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
JP2002043418A (ja) * 2000-07-24 2002-02-08 Nec Corp 半導体装置およびその製造方法
US20020134684A1 (en) * 2000-10-25 2002-09-26 Shipley Company, L.L.C. Seed layer processes
JP4052623B2 (ja) * 2001-03-15 2008-02-27 株式会社東芝 半導体装置の製造方法
AU2003244315A1 (en) 2002-06-20 2004-01-06 Sankio Chemical Co., Ltd. Process for producing phenyloxocarboxylic ester derivative
AU2003248850A1 (en) 2002-07-12 2004-02-02 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US6962873B1 (en) * 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
MXPA06001800A (es) * 2003-08-14 2006-05-04 Monsanto Technology Llc Catalizadores que contienen carburo-metal de transicion y nitruro, su preparacion y uso como catalizadores de oxidacion y deshidrogenacion.
KR100578104B1 (ko) * 2003-12-16 2006-05-10 한국과학기술원 코발트-질소 박막을 이용한 코발트 다이실리사이드에피층의 형성방법
US7166732B2 (en) 2004-06-16 2007-01-23 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films
JP4639686B2 (ja) * 2004-07-27 2011-02-23 Jsr株式会社 化学気相成長材料及び化学気相成長方法
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7816550B2 (en) * 2005-02-10 2010-10-19 Praxair Technology, Inc. Processes for the production of organometallic compounds
JP2006303062A (ja) * 2005-04-19 2006-11-02 Sony Corp 半導体装置の製造方法
EP1921061B1 (en) * 2005-08-04 2011-10-19 Tosoh Corporation Metal-containing compound, process for producing the same and method of forming a metal-containing thin film
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8022552B2 (en) * 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR101467587B1 (ko) * 2006-06-28 2014-12-01 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속(ⅳ) 테트라-아미디네이트 화합물 및 기상증착에서의 그의 용도
JP5571547B2 (ja) * 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
JP5437594B2 (ja) * 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
JP5326558B2 (ja) * 2008-12-26 2013-10-30 富士通セミコンダクター株式会社 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02250955A (ja) * 1989-03-24 1990-10-08 Raimuzu:Kk 立方晶コバルト薄膜の形成方法
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
JP2006511716A (ja) * 2002-11-15 2006-04-06 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 金属アミジナートを用いる原子層の析出
JP2005002099A (ja) * 2003-04-22 2005-01-06 Air Products & Chemicals Inc 金属含有膜のための前駆体

Also Published As

Publication number Publication date
KR20100016311A (ko) 2010-02-12
US8461684B2 (en) 2013-06-11
CN103151335A (zh) 2013-06-12
KR101797880B1 (ko) 2017-11-15
JP2010524264A (ja) 2010-07-15
US20110233780A1 (en) 2011-09-29
CN101687896A (zh) 2010-03-31
WO2009088522A2 (en) 2009-07-16
JP2014179635A (ja) 2014-09-25
TW200903718A (en) 2009-01-16
EP2142682A2 (en) 2010-01-13
JP5571547B2 (ja) 2014-08-13
JP5890463B2 (ja) 2016-03-22
CN101687896B (zh) 2013-03-27
EP2142682B1 (en) 2014-12-03
WO2009088522A3 (en) 2009-12-30
KR101629965B1 (ko) 2016-06-13
EP2857549A3 (en) 2015-07-15
US7973189B2 (en) 2011-07-05
US20080254232A1 (en) 2008-10-16
EP2142682A4 (en) 2011-11-09
JP6009419B2 (ja) 2016-10-19
CN103151335B (zh) 2016-09-28
KR20160030583A (ko) 2016-03-18
AU2008347088A1 (en) 2009-07-16
EP2857549A2 (en) 2015-04-08
TWI480977B (zh) 2015-04-11

Similar Documents

Publication Publication Date Title
JP5890463B2 (ja) 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
AU2010310750B2 (en) Self-aligned barrier and capping layers for interconnects
US7034169B1 (en) Volatile metal β-ketoiminate complexes
KR20140085461A (ko) 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
JP2004156141A (ja) 半導体基板の表面に金属層を堆積する方法
JP5735593B2 (ja) 気相成長を介して連続的な銅薄膜を形成する方法
EP0533070A2 (en) Volatile precursors for copper CVD
US20130143402A1 (en) Method of forming Cu thin film
JP4649402B2 (ja) 原子層蒸着による銅フィルムの蒸着のための揮発性銅(i)錯体
KR20150075049A (ko) 구리 금속 필름 및 이의 제조 방법, 및 이를 이용한 반도체 소자용 구리 배선의 형성 방법
US20070071892A1 (en) Organic-metal precursor material and method of manufacturing metal thin film using the same
CN1800190A (zh) 挥发性金属β-酮亚胺盐配合物
EP1792907A1 (en) Volatile metal beta-ketoiminate complexes
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법
AU2013204566A1 (en) Self-aligned barrier and capping layers for interconnects

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141007

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141010

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160726

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160914

R150 Certificate of patent or registration of utility model

Ref document number: 6009419

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250