CN103151335A - 用于铜互连的氮化钴层及它们的形成方法 - Google Patents

用于铜互连的氮化钴层及它们的形成方法 Download PDF

Info

Publication number
CN103151335A
CN103151335A CN2013100575663A CN201310057566A CN103151335A CN 103151335 A CN103151335 A CN 103151335A CN 2013100575663 A CN2013100575663 A CN 2013100575663A CN 201310057566 A CN201310057566 A CN 201310057566A CN 103151335 A CN103151335 A CN 103151335A
Authority
CN
China
Prior art keywords
copper
layer
cobalt
cvd
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100575663A
Other languages
English (en)
Other versions
CN103151335B (zh
Inventor
R·G·高登
H·伯罕达里
金勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harvard College
Original Assignee
Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harvard College filed Critical Harvard College
Publication of CN103151335A publication Critical patent/CN103151335A/zh
Application granted granted Critical
Publication of CN103151335B publication Critical patent/CN103151335B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/65Metal complexes of amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

本发明涉及用于铜互连的氮化钴层及它们的形成方法。提供了用于集成电路的互连结构,其包括有助于铜线的成核、生长和附着的氮化钴层。可将氮化钴沉积在难熔金属氮化物或碳化物例如氮化钨或氮化钽的层上,所述层充当铜的扩散阻挡层并且还提高氮化钴和下方的绝缘体之间的附着。可以由新型脒基钴前体通过化学气相沉积形成氮化钴。沉积在氮化钴上的铜层显示出高的电导率并且可充当微电子用铜导体的电化学沉积的籽晶层。

Description

用于铜互连的氮化钴层及它们的形成方法
本申请是优先权日为2007年4月9日、发明名称为“用于铜互连的氮化钴层及它们的形成方法”的中国发明专利申请200880016689.X(国际申请号为PCT/US2008/059797)的分案申请。
相关申请
本申请按照35 U.S.C.§119(e)要求2007年4月9日提交的共同待审美国申请No.60/922,485的优先权,通过引用将该申请以其全文并入本文。
本申请按照35 U.S.C.§119(e)要求2007年10月5日提交的共同待审美国申请No.60/998,023的优先权,通过引用将该申请以其全文并入本文。
技术领域
本发明涉及用于微电子的铜互连和沉积含金属层的方法。
背景技术
铜替代铝作为微电子器件例如微处理器和存储器的布线所选用的材料。一般通过电镀法将铜置于绝缘体例如二氧化硅的孔洞和沟槽内。然后抛去器件表面的多余铜。用绝缘材料封盖其中刻蚀有孔洞和沟槽的结构以便开始下一级(level)布线。
为了使细铜线经受得住抛磨处理,铜必须强有力地附着于绝缘体。在制造的剩余部分和器件使用中还必须维持附着。在目前使用的技术中,使用溅射氮化钽(TaN)和钽金属(Ta)的双层结构来提供这种附着。TaN提供了对绝缘体的强有力附着,而Ta强有力地附着至铜的溅射籽晶层,另外的铜电镀到该层上。Ta还防止氧和水侵蚀铜线。
半导体例如硅中存在铜导致了可阻碍半导体中形成的晶体管正常工作的缺陷。铜还提高通过置于铜线之间的绝缘体例如二氧化硅的电流泄露。因此,铜布线的使用需要包围铜线的有效扩散阻挡层,以保持铜限定在其合适的位置。在目前的技术中,溅射的TaN充当扩散阻挡层。
铜还具有沿电子在电路中流动的方向移动的倾向。如果在铜互连中形成足够大的空隙,则该电迁移过程可导致提高的电阻或甚至开路。大多数这种不希望的移动沿铜的表面发生。可通过用抑制电迁移的材料包围铜互连来维持长的寿命。钽金属(Ta)在目前使用的铜互连的底部和侧部起到这种作用。铜布线的顶部(未连接到上级的那些部分)典型地被氮化硅或碳化硅覆盖,尽管这些材料在降低铜的电迁移方面不如Ta有效。
如国际半导体技术蓝图(ITRS)每年所公布的,在将来的微电子器件、工业设计中,需要基于较薄的阻挡层、附着层和籽晶层的较窄布线。ITRS预测,目前使用的溅射Cu/TaN/Ta将不能够满足这些预计需要。溅射涂层的不良保形性意味着在接近孔洞和沟槽的顶部需要比必要层更厚,以便在这些结构的下部提供足够的厚度。在要件(feature)顶部附近产生的“突出物(overhang)”使得电镀的铜难以填充孔洞和沟槽而不留下空隙,这提高了电阻并且加剧了电迁移诱发的不稳定性。
已建议将钴(Co)金属作为互连中Ta附着层的替代。Co膜可为气相沉积(CVD或ALD)的,比溅射的Ta具有更好的保形性。然而,当将铜气相沉积到钴表面上时,铜倾向于聚结成多个分离的核,从而形成具有低电导率的相对粗糙的膜。
还建议将钌(Ru)金属作为互连中Ta附着层的替代。Ru膜可为气相沉积(CVD或ALD)的,比溅射的Ta具有更好的保形性。当将铜气相沉积到Ru上时,在合适的条件下制备时铜层可为平滑且高度导电的。然而,Ru是昂贵的金属,并且对于大规模互连应用Ru不可按足够的量获得。另外,Ru不是氧的良好扩散阻挡体。
因此,目前的互连技术缺乏其上可以沉积平滑且高度导电的铜层的保形、廉价的附着层和氧扩散阻挡层。
发明内容
公开了这样的材料和技术,该材料和技术确保铜和周围材料之间的牢固附着,提供阻挡层以防止铜从布线扩散出以及氧或水扩散到布线内,并且保持铜线不受它们所承载的电流损害。
描述了保形、廉价的氮化钴(CoxN)层,可以将平滑且高度导电的铜层沉积到其上。CoxN的组成典型地为约x=1至约x=10,可例如为约3至6。在一个实施方案中,x为约4,对应于化合物Co4N。不要求x是整数。
可以通过包括物理气相沉积(PVD)和化学气相沉积(CVD)方法的任何方便的方法沉积CoxN层。可在提供保形涂层的沉积条件下进行CVD。
在一个实施方案中,通过CVD由脒基(amidinate)钴的蒸气、氮源例如氨和还原性源例如氢气来沉积CoxN层。
任选地,在沉积含钴层之前可以沉积铜扩散阻挡层例如非晶态TaN、TaC、WN、WC或MoN、或者它们的混合物。
可以通过任何方便的方法例如CVD、PVD、化学还原或电化学沉积在CoxN层上沉积铜层。在一个实施方案中,首先通过CVD沉积薄铜层,接着电化学沉积较厚的铜层。
在另一个实施方案中,通过首先沉积氧氮化铜层的平滑层、接着将氧氮化铜还原为铜金属来制备铜层。该金属层具有低的表面粗糙度并且可具有例如小于5nm或小于1nm的RMS粗糙度。
CoxN层的使用提供了平滑的附着层并且提供了用于形成高度导电且强有力附着的铜层的衬底,用以例如制造电子元件、电路、器件和系统。由下文的描述和附图以及由权利要求书,将清楚本发明的其它特征和优点。
在另一方面,可以通过将衬底暴露于包含一种或多种脒基金属的蒸气的气态混合物由化学气相沉积形成包含金属的层,所述金属选自金属锂、钠、钾、铍、钙、锶、钡、钪、钇、镧和其它镧系金属、钛、锆、铪、钒、铌、钽、钼、钨、锰、铼、铁、钌、钴、铑、镍、钯、银、锌、镉、锡、铅、锑和铋。
在一个或多个实施方案中,气体混合物包含另外的反应物例如还原剂、或者含氧或含氮气体。
在一个或多个实施方案中,气体混合物包含脒基钴和气态的氮源以及氢还原剂。可获得氮化钴层。可使用其它脒基金属源。
在一个或多个实施方案中,气体混合物包含脒基铜。该气体混合物可以包含气态的氧源并且可获得氧化铜层。可使用其它脒基金属源。
在一个或多个实施方案中,气体混合物包含脒基铜,并且气体混合物可以包含气态的氧源和气态的氮源。可获得氧氮化铜层。可使用其它脒基金属源。
在其它实施方案中,在沉积含铜膜期间或之后提供还原性源例如氢来形成铜金属层。
附图说明
图1是根据本发明构建的互连沟槽和过孔的示意性横截面。
图2是Co4N膜的电子衍射图案。
图3是包含该图3的右半部(框图3)所描绘的CoxN层和Cu层的双层膜的电子衍射图案,以及单独Co4N膜(1,图3的左上象限)和还包含Cu2O层的铜金属膜(2,图3的左下象限)的对比电子衍射图案。
具体实施方式
在图1中以示意性横截面示出了包括传导沟槽100和过孔(孔洞)110的电子器件例如集成电路。可根据本领域公知的方法通过常规光刻并在复合绝缘层30、40、50和60中刻蚀沟槽100和过孔(孔洞)110制得该结构。
该结构构建在平坦表面之上,该平坦表面包含绝缘区域10和形成下一较低级布线的导电区域20。封盖层30(典型地是氮化硅或碳化硅)位于由绝缘区域10和导电区域20限定的表面上方,接着是绝缘层40、止蚀(etch-stop)层50和另一个绝缘层60。本领域已知的绝缘材料包括二氧化硅、氟化二氧化硅(fluorinated silicon dioxide)和碳氧化硅(silicon oxide carbide),其典型地通过等离子体增强化学气相沉积(PECVD)制得。典型的止蚀材料包括PECVD氮化硅、碳化硅和碳氮化硅。然后通过光刻法贯穿绝缘层刻蚀沟槽100和孔洞(过孔)110。一旦形成,用铜填充沟槽和孔洞以形成下一较高级的导电布线。
任选地,在氮化钴或者铜沉积之前可以使器件经受另外的处理步骤。例如,如果一个或多个绝缘层40、60含有孔隙,则通过Electrochemical and Solid State Letters,volume7,G306-G-308页(2004)中描述的方法将这些孔隙的开口密封,该文献通过引用以其全文并入本文。在一个实施方案中,首先将层表面暴露于与绝缘表面选择性地相互作用的催化剂,以在至少部分绝缘表面上形成催化表面。示例性的催化剂是金属或准金属化合物,包括金属或准金属的氨基化物(amide)、脒基化物、烷基化物、醇化物和卤化物。所述金属或准金属可以是铝、硼、镁、钪、镧、钇、钛、锆或铪。对金属或准金属化合物的暴露时间和/或反应性进行选择使得在暴露时间期间介电体内部较深的孔隙不暴露于它和/或不与它反应。接下来将该表面暴露于一种或多种硅烷醇化合物,优选在高于室温的温度下进行,从而仅在衬底的催化表面上形成氧化硅层。本文中使用的“硅烷醇”是指具有键合至一个或多个羟基(OH)的硅原子的一类化合物;硅烷醇包括烷氧基硅烷醇、烷氧基烷基硅烷醇和烷氧基硅烷二醇以及它们的取代衍生物。在表面上的酸位点催化硅烷醇聚合成氧化硅层,该层沉积到绝缘材料的暴露表面上。当暴露的绝缘材料包括孔隙内部或周围的表面时,氧化硅在外部孔隙上方桥接并将其密封。这种封孔处理产生平滑和洁净的氧化硅层,可将抵抗铜扩散的阻挡层沉积到该氧化硅层上。
扩散阻挡层70可以包括非晶态材料例如氮化钽(TaNy)、氮化钨(WNy)、碳化钽、碳化钨(WCy)或氮化钼(MoN)的薄层。典型地,y是约1。扩散阻挡层的一个非限制性目的是防止使用期间铜从结构脱离(escape)。扩散阻挡层的另一个非限制性目的是促进随后沉积的CoxN层与下方的绝缘体之间的附着。可以通过任何有效的方法例如溅射或CVD来沉积扩散阻挡层。
CVD可以是优选的方法,这是因为CVD扩散阻挡层的较好保形性。举例如下,在衬底的加热的表面上使双(烷基-亚氨基)双(二烷基氨基)钨(VI)的蒸气与氨气NH3反应以形成氮化钨涂层。在一些实施方案中,可以在可包含孔洞或沟槽的衬底上以形成膜的方式进行反应。
钨化合物可以具有通式1,其中Rn表示烷基、氟烷基、或者用优选地经过选择以提高化合物挥发性的其它原子或基团取代的烷基,其中Rn是R1至R6之任一。Rn可以彼此相同或不同。
Figure BDA00002853760900061
在某些实施方案中,Rn代表烷基、芳基烷基、烯基烷基、炔基烷基、氟烷基、或者用经选择以提高化合物挥发性的其它原子或基团取代的烷基,其中Rn是R1至R6之任一,且其中Rn可以彼此相同或不同。
如总体结构2的化合物所示,合适的双(烷基-亚氨基)双(二烷基氨基)钨(VI)化合物包括其中结构1中的烷基R5和R6具有连接至亚氨基氮的叔碳的那些:
Figure BDA00002853760900062
对于上面给出的通式2中的所有Rn,可选择甲基。在一个或多个实施方案中,该钨化合物是双(叔丁基亚氨基)双(二甲基氨基)钨(VI);即(tBuN)2(Me2N)2W。
其它合适的化合物包括:通过选择式2的R1、R4、R5、R6、R7、R8、R9和R10是甲基而R2和R3是乙基所获得的化合物,即双(乙基甲基氨基)双(叔丁基亚氨基)钨(VI),以及通过选择式1的R1、R2、R3和R4基团是甲基而R5和R6是异丙基所获得的化合物,例如双(二甲基氨基)双(异丙基亚氨基)钨(VI)。两个或更多个烷基可以连接形成环状化合物,并且所述基团可以包含一些不饱和度例如芳基、烯基或炔基。另外,该化合物可包含中性或阴离子配位体。许多中性配位体是已知的。示例性的中性配位体包括例如烯、炔、膦和CO。许多阴离子配位体是已知的。示例性的阴离子配位体包括甲基、甲氧基和二甲基氨基。认为这些结构促进具有低碳含量的膜的沉积,这是因为对于具有叔碳的烷基容易发生β氢消除反应。在其它实施方案中,钨金属可以被钼替代。双(烷基-亚氨基)双(二烷基氨基)钨(VI)和钼(IV)化合物可商购或者可通过任何常规方法制得。见例如国际申请WO 2004/007796,通过引用将其并入本文。
在如下的条件下沉积氮化钨膜,即该条件在所沉积的氮化钨膜和将该膜沉积到其上的衬底之间产生良好的附着。在一个或多个实施方案中,在一系列条件中实现了高度均匀氮化钨膜的气相沉积,所述条件例如反应物浓度和衬底在反应器内的位置。在一个或多个实施方案中,于约200℃-500℃的相对低的温度下涂覆衬底。在一些实施方案中,在维持处于约300℃-约500℃温度的衬底上制备WN膜。
在其它实施方案中,使用原子层沉积(ALD)形成氮化钨层。ALD方法包括将衬底暴露于双(烷基-亚氨基)双(二烷基氨基)钨(VI)化合物的蒸气一个或多个周期,其中至少部分蒸气通过自限制(self-limiting)过程吸附在衬底表面上;然后将衬底暴露于活化表面的氨蒸气中使得该表面准备与另外量的双(烷基-亚氨基)双(二烷基氨基)钨(VI)化合物反应。在国际申请WO 2004/007796中可找到示例性ALD方法的另外细节,该申请通过引用以其全文并入本文。
任选地,在过孔的底部,可以使用定向离子刻蚀来除去覆盖在导电材料20例如铜上的一些或全部阻挡材料。该步骤允许过孔和下方的铜层20之间以较低的电阻连接。图1说明了其中在铜层20上方除去了扩散阻挡层的器件。
接着,将氮化钴(CoxN)层80沉积到阻挡层上。可以使用任何方便的方法施加氮化钴层80。CoxN层通常具有约1-10例如约2-6或约3-5的x值。在一个或多个实施方案中,氮化钴层中的化合物为Co4N。x不需要是整数。
在一些实施方案中,Cu4N层是多晶态的。这种结构促进铜晶粒以相同的取向外延生长。认为这些外延取向的铜晶粒和氮化钴之间的强有力附着提高了互连结构的稳定性和寿命。
在一个或多个实施方案中,使用CVD沉积CoxN层,然而还可考虑其它方法例如溅射。层90是铜籽晶层,其可以通过本领域已知的任何方便方法沉积,所述方法包括化学方法例如CVD或者物理方法例如溅射或PVD。然后使用常规方法例如本领域公知的电镀或无电沉积用铜填充沟槽100和过孔110。
在某些实施方案中,通过CVD沉积CoxN扩散阻挡层。在一种CVD方法中,将脒基钴与氮的气态源和氢混合并且暴露于加热的衬底以沉积保形氮化钴层。可通过改变CVD气体混合物的组成来调节CoxN层的组成x。CVD气体混合物中H2与NH3之比的提高增大x值。还可通过在沉积后于180-400℃下对所述层进行退火来调节组成。退火气氛中H2与NH3之比的提高也增大x值。
在一个或多个实施方案中,脒基钴具有结构[M(AMD)x],其中M是Co,AMD是脒基并且x=2或3。这些化合物中的一些具有结构3,
Figure BDA00002853760900081
其中R1、R2、R3、R1′、R2′和R3′是由一个或多个非金属原子构成的基团。在一些实施方案中,R1、R2、R3、R1′、R2′和R3′可以相同或不同,并且可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基。在一些实施方案中,R1、R2、R3、R1′、R2′和R3′可以相同或不同,并且各自独立地是含有1-4个碳原子的甲硅烷基烷基或烷基或卤烷基例如氟烷基。在一个或多个实施方案中,脒基钴包括双(N,N′-二异丙基乙脒基)钴(II),其对应于在该通式3中取R1、R2、R1′和R2′为异丙基,取R3和R3′为甲基。另外,该化合物可包含中性或阴离子配位体。许多中性配位体是已知的。示例性的中性配位体包括例如烯、炔、膦和CO。许多阴离子配位体是已知的。示例性的阴离子配位体包括甲基、甲氧基和二甲基氨基。
在示例性的CVD方法中,在约80℃的温度下将双(N,N′-二异丙基乙脒基)钴(II)蒸气与氨(NH3)气和氢气(H2)混合,并且使该蒸气混合物流经已加热至100-300℃,优选150-250℃且最优选170-200℃温度的部分完成的互连结构。在扩散阻挡层上形成CoxN层。在一些实施方案中,CoxN层具有约1-4nm的厚度或约2-3nm的厚度。
用于制备氮化钴的替代性CVD前体是双(N-叔丁基-N′-乙基-丙脒基)钴(II),对应于R1和R1’是叔丁基,R2、R2’、R3和R3′是乙基,其在室温下为液体。液体前体比固体前体更易于纯化、处理和蒸发。脒基铜可商购或者可通过任何常规方法制得。见例如国际申请WO2004/046417,通过引用将其并入本文。
可使用沉积CoxN层的其它方法。例如,可使用其中将加热的衬底暴露于交替的脒基金属蒸气和还原性气体/含氮化合物的ALD方法来制备CoxN化合物。对于另外信息,见例如国际申请WO 2004/046417,该申请通过引用以其全文并入本文。
可通过任何方便的方法将铜导体置于氮化钴层上,所述方法包括物理方法例如溅射、和化学方法例如CVD或无电沉积。化学方法典型地提供较好的保形性。
可通过本领域已知的既定方法进行铜的CVD。例如,1,1,1,5,5,5-六氟乙酰丙酮三甲基乙烯基硅烷铜(I)(Cupraselecttm)是用于铜的CVD的源,其应用例如描述于Journal of the ElectrochemicalSociety,volume 145,4226-4233页(1998)中,通过引用将其并入本文。在WO 2004/046417中描述了使用N,N′-二-仲丁基乙脒基铜(I)的铜的CVD,通过引用将其全文并入本文。在还原性气体例如氢存在下于低温(小于200℃)下的短反应时间(小于几分钟)产生相对平滑的铜金属膜(均方根粗糙度小于几纳米)。
在再一个实施方案中,可以通过沉积氧氮化铜层并将所得层还原为铜金属来形成铜层。氧化铜或氮化铜具有比金属铜更好的可润湿性,这产生较高的成核密度和比金属铜具有更平滑且更为连续形貌的连续薄层。当在不增加铜的聚结的条件下将氧氮化铜转化为金属铜时,可将前体层的平滑形貌转印到铜金属层。在低温下进行所沉积薄膜的还原以避免或减少可导致粗糙或不连续膜的铜聚结。
例如,可使用(N,N′-二-仲丁基-乙脒基)铜(I)作为上述用于铜的CVD的源,并与氮源例如氨或肼以及氧源例如O2、水蒸气、臭氧或过氧化物(如过氧化氢)组合使用。当使用氨和水蒸气的混合物作为沉积期间的反应物气体时,氧和氮均纳入到膜中。在低温下(小于200℃)短反应时间(小于几分钟)产生非常平滑的氧氮化铜层(均方根粗糙度为0.4-0.6纳米)。例如,通过在<50℃下暴露于氢等离子体将该膜还原为铜金属并且产生非常平滑的膜。使用强还原剂降低反应温度并促进平滑金属层的形成。小于约1nm和甚至0.5nm-0.8的均方根粗糙度是可能的。还可以通过在溶液中化学还原或者通过电化学还原进行还原。例如,可以通过在中性或碱性电解质溶液中电解来还原氧氮化铜。
一旦通过这些方法之一在氮化钴上形成铜的保形籽晶层,则可使用电化学沉积使沟槽和过孔填充有铜。电化学沉积具有的优点是其能够以成本有效的方法提供没有空隙或缝痕的纯铜。使用沉积铜的常规方法。
在另一方面,可通过混有合适反应性气体的脒基金属蒸气的CVD制备含金属膜。可以通过将衬底暴露于包含一种或多种脒基金属的蒸气的气体混合物由化学气相沉积形成包含金属的层,所述金属选自金属锂、钠、钾、铍、钙、锶、钡、钪、钇、镧及其它镧系金属、钛、锆、铪、钒、铌、钽、钼、钨、锰、铼、铁、钌、钴、铑、镍、钯、银、锌、镉、锡、铅、锑和铋。
在一方面,通过将加热的衬底暴露于包含一种或多种挥发性脒基金属化合物的蒸气和还原性气体或蒸气的气体混合物以便在衬底的表面上形成金属涂层来制备含金属的薄膜。在一个或多个实施方案中,所述还原性气体包括氢气或甲酸。
在一方面,通过将加热的衬底暴露于包含一种或多种挥发性脒基金属化合物的蒸气和含氮气体或蒸气的气体混合物以便在衬底的表面上形成金属氮化物涂层来制备含金属氮化物的薄膜。在一个或多个实施方案中,所述含氮气体包含氨或肼。
在另一方面,通过将加热的衬底暴露于包含一种或多种挥发性脒基金属化合物的蒸气和含氧气体或蒸气的气体混合物以便在衬底的表面上形成金属氧化物涂层来制备含金属氧化物的薄膜。在一个或多个实施方案中,所述含氧气体包括水、氧气、臭氧或过氧化氢。
在一些实施方案中,金属氧化物是氧化铜,并且通过将加热的衬底暴露于包含一种或多种挥发性脒基铜化合物的蒸气和含氧气体或蒸气的气体混合物来制备薄氧化铜膜。例如,所述脒基金属前体是(N,N′-二-仲丁基-乙脒基)铜(I)二聚体。
在一些实施方案中,金属氧化物是氧氮化铜,并且通过将加热的衬底暴露于包含一种或多种挥发性脒基铜化合物的蒸气与含氧气体或蒸气及含氮蒸气例如氨或肼的气体混合物来制备薄的氧氮化铜膜。例如,所述脒基金属前体是(N,N′-二-仲丁基-乙脒基)铜(I)二聚体。
在一个或多个实施方案中,通过将形成状态的金属氧化物或氧氮化物薄膜还原来制备金属薄膜。例如,可以用还原剂例如氢等离子体、二氢(dihydrogen)气体或甲酸蒸气还原氧化铜或氧氮化铜薄膜来获得铜金属薄膜。
在一个或多个实施方案中,挥发性脒基金属(I)[M(I)(AMD)]x(其中x=2,3)是用于气相沉积的前体。这些化合物中的一些具有二聚结构4,
其中R1、R2、R3、R1′、R2′和R3′是由一个或多个非金属原子构成的基团。在一些实施方案中,R1、R2、R3、R1′、R2′和R3′可以不同并且可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基。在一些实施方案中,R1、R2、R3、R1′、R2′和R3′各自独立地是含有1-4个碳原子的甲硅烷基烷基或烷基或氟烷基。一价金属的非限制性例子包括铜(I)、银(I)、金(I)和铱(I)。在一个或多个实施方案中,脒基金属是脒基铜,脒基铜包括N,N′-二异丙基乙脒基铜(I),其对应于通式1中取R1、R2、R1′和R2′为异丙基,取R3和R3′为甲基。在一个或多个实施方案中,脒基金属(I)是具有通式[M(I)(AMD)]3的三聚体。另外,该化合物可包含中性配位体。许多中性配位体是已知的。示例性的中性配位体包括例如烯、炔、膦和CO。
在一个或多个实施方案中,用于气相沉积的二价金属前体包括挥发性双脒基金属(II)即[M(II)(AMD)2]x,其中x=1、2。这些化合物可具有单体结构5,
Figure BDA00002853760900122
其中R1、R2、R3、R1′、R2′和R3′是由一个或多个非金属原子构成的基团。在一个或多个实施方案中,还可以使用这种结构的二聚体例如[M(II)(AMD)2]2。在一些实施方案中,R1、R2、R3、R1′、R2′和R3′可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基。
在一些实施方案中,R1、R2、R3、R1′、R2′和R3′各自独立地是含有1-4个碳原子的甲硅烷基烷基或烷基或氟烷基。二价金属的非限制性例子包括钴、铁、镍、锰、钌、锌、钛、钒、铕、钙、锶、钡、锡和铅。
在一个或多个实施方案中,脒基金属(II)是脒基钴,并且该脒基钴包括双(N,N′-二异丙基乙脒基)钴(II),其对应于通式2中取R1、R2、R1′和R2′为异丙基,和取R3和R3′为甲基。另外,该前体可包含中性配位体。许多中性配位体是已知的。示例性的中性配位体包括例如烯、炔、膦和CO。
在一个或多个实施方案中,用于三价金属的气相沉积的前体包括挥发性三脒基金属(III)即M(III)(AMD)3。典型地,这些化合物具有单体结构6,
Figure BDA00002853760900131
其中R1、R2、R3、R1′、R2′、R3′、R1″、R2″和R3″是由一个或多个非金属原子构成的基团。在一些实施方案中,R1、R2、R3、R1′、R2′、R3′、R1″、R2″和R3″可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基、卤素或部分氟化的烷基。在一些实施方案中,R1、R2、R3、R1′、R2′、R3′、R1″、R2″和R3″各自独立地是含有1-4个碳原子的烷基。三价金属的非限制性例子包括镧、镨及其它镧系金属、钇、钪、钛、钒、铌、钽、铁、钌、钴、铑、铱、锑和铋。在一个或多个实施方案中,脒基金属(III)是脒基镧,并且该脒基镧包括三(N,N′-二叔丁基乙脒基)镧(III),其对应于通式6中取R1、R2、R1′、R2′、R1″和R2″为叔丁基而取R3、R3′和R3″为甲基。另外,该前体可含有中性配位体。许多中性配位体是已知的。示例性的中性配位体包括例如烯、炔、膦和CO。
如本文中所使用的,具有相同的金属与脒基之比的脒基金属称作单体,而化合物中金属/脒基单元总数发生变化的脒基金属称作单体化合物的“低聚体”。因此,单体化合物M(II)AMD2的低聚体包括[M(II)(AMD)2]x,其中x为2、3等。类似地,单体化合物M(I)AMD的低聚体包括[M(I)AMD]x,其中x为2、3等。
实施例1
WN、Co4N和Cu的CVD
使用顶部具有SiO2绝缘层的硅晶片作为衬底。在SiO2层的一些区域中刻蚀出沟槽和孔洞。
通过将约0.05托的双(叔丁基亚氨基)双(二甲基氨基)钨(VI)、0.5托的氨和0.5托的氮的蒸气混合物暴露于390℃的衬底温度1分钟由CVD沉积氮化钨。沉积约2nm厚的WN扩散阻挡层。
通过将约0.03托的双(N-叔丁基-N′-乙基-丙脒基)钴(II)、0.2托的氨、0.3托的氢和0.5托的氮的蒸气混合物对186℃的衬底温度进行CVD4分钟来沉积氮化钴。沉积约2nm厚的包含CoxN的层。为分析该CoxN材料,将较厚的层沉积到玻璃状碳衬底上并然后对其进行卢瑟福背散射分析(RBS)。检测到膜中钴和氮具有x~4的原子比,以及少量来自沉积后暴露于大气的氧。将类似沉积的约20nm厚的Co4N膜置于透射电子显微镜中,用其获得图2中所示的电子衍射图案。观测到的衍射环均可由密排面心立方结构来标定,该结构中钴原子与铜金属中的铜原子具有相同的位置,并且氮原子位于体心处。为证实Co4N和Cu结构的符合性,还对20nm Co4N和20nm Cu的双层膜进行电子衍射。在图3的右半部(框图3)显示了所得电子衍射图案,以及单独Co4N膜(1,图3的左上象限)和还含有Cu2O层的铜金属膜(2,图3的左下象限)的对比电子衍射图案。所有这三个电子衍射图案之间的良好一致性证实了Cu4N和Cu的结构之间存在良好的符合性。
通过在186℃的衬底温度下将约0.4托的(N,N′-二-仲丁基-乙脒基)铜(I)二聚体、0.8托的氢和0.8托的氮的蒸气混合物暴露2分钟制得铜籽晶层。沉积了约7nm厚的铜层。
在衬底的平坦区域上测得这些层的薄层电阻为30欧姆/平方。在这些籽晶层上,可使用已知技术在表面上和沟槽及孔洞内电化学沉积另外的铜。发现Co4N层是抵抗氧和水的扩散的良好阻挡层。
对比例
WN、Co和Cu的CVD
重复实施例1,不同之处在于沉积钴金属而不是氮化钴。按实施例1将钴金属沉积在先预形成的WN上。通过在240℃的衬底温度下由约0.03托的双(N-叔丁基-N′-乙基-丙脒基)钴(II)、0.5托的氢和0.5托的氮的蒸气混合物进行CVD 20分钟制得Co。在WN上沉积约2nm厚的Co层。在沉积Co后,按实施例1沉积铜。
这些层的薄层电阻是实施例1中所得层的约10倍。这种对比显示出在使更导电的铜籽晶层成核中CoxN优于钴金属的出乎预料的优点。
实施例2
WN、Co4N+Co3N和Cu的CVD
重复实施例1,但不同之处在于,在CoxN沉积期间,使用0.3托的氨、0.2托的氢。进行沉积4分钟,这对于产生约2nm厚的CoxN层是足够长的。在相同条件下对制得的较厚膜进行的RBS分析确定钴与氮的比例x为3-4。电子衍射证实该膜的主相是Co4N,连同一些六方Co3N。
在铜籽晶层的沉积后,薄层电阻为实施例1中制备的层的约2.4倍。该实施例显示Co3N+Co4N混合物产生比纯Co更导电的铜膜,但不如纯Co4N导电。
实施例3
WN、Co3N和Cu的CVD
重复实施例1,但不同之处在于,在CoxN沉积期间,使用0.5托的氨并且不使用氢。进行沉积4分钟,这对于产生约2nm厚的CoxN层是足够长的。在相同条件下对制得的较厚膜进行的RBS分析确定钴与氮的比例x为约3。电子衍射证实该膜的结构是六方Co3N。
在铜籽晶层的沉积后,薄层电阻为实施例1中制备的层的约4倍。该实施例显示Co3N产生比纯Co更导电的膜,但不如Co4N导电。
实施例4
WN、Co4N和Cu的CVD
重复实施例1,但不同之处在于,由(1,1,1,5,5,5-六氟乙酰丙酮化)铜(I)三甲基乙烯基硅烷沉积铜层。在100℃的衬底温度下通过由约0.4托的(1,1,1,5,5,5-六氟乙酰丙酮化)铜(I)三甲基乙烯基硅烷、1托的氢和2托的氮的蒸气混合物进行CVD 30秒制得铜籽晶层。沉积约7nm厚的铜层。
这些实施例中沉积的铜层显示出对氮化钴的强有力的附着。可通过本领域已知的工序将另外的铜电镀到这些薄铜层上。可对电镀的结构进行抛磨以提供用于微电子器件的互连。
实施例5
通过下面4个反应合成双(N-叔丁基-N′-乙基-丙脒基)钴(II)。使用惰性气氛箱或标准Schlenk技术在纯氮气氛下进行所有反应和操作。使用Innovative Technology溶剂纯化系统干燥四氢呋喃(THF)、二氯甲烷(CH2Cl2)和戊烷并将其贮存在分子筛上方。按收到状态使用丁基锂、叔丁基氯、乙胺、丙腈、CoCl2和FeCl3
(a)通过将叔丁基氯、乙胺和丙腈与氯化铁偶联来合成N-叔丁基-N′-乙基-丙脒
Figure BDA00002853760900162
Figure BDA00002853760900171
将0.30mol(50g)无水FeCl3悬浮在250mL干燥CH2Cl2中。在2分钟后,将该溶液冷却至-40℃,并且随着电磁搅拌一次加入21.4mL(0.30mol)无水丙腈。氯化铁进入溶液中并且介质颜色变为暗红色。将该溶液冷却至-78℃;然后一次加入无水叔丁基氯(33ml,0.30mol)。在几分钟内形成褐赭色沉淀物;推测其为N-叔丁基乙腈鎓四氯高铁酸盐。然后维持反应介质处于-78℃。将乙胺(13.5g,0.30mol)冷凝到搅拌的反应混合物中;继而发生放热反应。保持搅拌的同时使其加温到环境温度。然后将其冷却至-10℃并注入0.25L的5M NaOH中,在冰浴中搅拌。用CHCl3将所得混合物萃取两次。用100ml水洗涤有机相两次。将有机溶液在MgSO4上干燥,然后进行蒸发从而产生浅黄色液体。然后通过蒸馏(40℃/0.06托)纯化如此获得的粗脒从而产生无色液体。收率38g,81%。1H NMR(CDCl3,25℃,ppm):1.0-1.1(2t,6H,CH2CH3),1.30(s,9H,C(CH3)3),2.03(q,2H,CCH2CH3),3.16(q,4H,NCH2CH3)。
(b)通过N-叔丁基-N′-乙基-丙脒与丁基锂和氯化钴(II)反应而合成双(N-叔丁基-N′-乙基-丙脒基)钴(II)
Figure BDA00002853760900173
将丁基锂溶液(在己烷中为1.6M,81mL,0.13摩尔)逐滴加入到-78℃下的在0.2L THF中的N-叔丁基-N′-乙基-丙脒(20.3g,0.13摩尔)溶液中。将该混合物加温至室温并搅拌4小时。然后在室温下将该所得溶液加入到氯化钴(II)CoCl2(8.44g,0.065摩尔)在0.1LTHF中的溶液中。在氮气氛下搅拌反应混合物12小时。然后在减压下除去所有挥发物,用戊烷萃取所得固体。通过玻璃料上的Celite垫过滤戊烷萃取物。在减压下除去戊烷以得到深绿色的油。在90℃下通过蒸馏(30毫托)获得纯的深绿色液体化合物。收率,34g,71%。熔点(mp):-17℃。1H NMR(C6D6,25℃,ppm):-100.7(br,3H),-30.6(br,9H),86.7(br,3H),248.5(br,2H),268.8(br,2H)。CoC18H38N4的分析计算值:C,58.52;H,10.37;N,15.16。测得值:C,58.36;H,10.66;N,14.87。
实施例6
氧化钴的CVD
在200℃的衬底温度下,由双(N-叔丁基-N′-乙基-丙脒基)钴(II)、水蒸气和氮气的蒸气混合物进行CVD而产生氧化钴(II)(CoO)膜。
实施例7
氧化铜的CVD和Cu籽晶层的形成
在140℃的衬底温度下由0.4托的(N,N′-二-仲丁基-乙脒基)铜(I)二聚体、4托的水蒸气和4托的氮气的蒸气混合物进行CVD而产生氧化铜(I)(Cu2O)膜。在还原处理期间,用强度足以将膜和衬底的温度提高至约50℃的氢等离子体还原1分钟,可将Cu2O转化为铜金属。
实施例8
氧氮化铜的CVD和Cu籽晶层的形成
在160℃的衬底温度下由0.4托的(N,N′-二-仲丁基-乙脒基)铜(I)二聚体蒸气、3托的水蒸气、1托的氨气和4托的氮气的蒸气混合物进行CVD从而产生氧氮化铜(I)膜。RBS分析给出的组成近似为Cu0.7O0.2N0.1。在还原处理期间,用强度足以将膜和衬底的温度提高至约50℃的氢等离子体还原1分钟,可将氧氮化铜膜转化为铜金属膜。该铜金属膜非常平滑,具有约0.5nm的RMS粗糙度。
实施例9
铁的CVD
在230℃的衬底温度下由双(N-叔丁基-N′-乙基-丙脒基)铁(II)、氢气和氮气的蒸气混合物进行CVD而产生金属铁膜。
实施例10
氮化铁的CVD
在180℃的衬底温度下由双(N-叔丁基-N′-乙基-丙脒基)铁(II)、氨和氮气的蒸气混合物进行CVD而产生导电的氮化铁Fe3N膜。
实施例11
氧化铁的CVD
在150℃的衬底温度下由约0.03托的双(N-叔丁基-N′-乙基-丙脒基)铁(II)、0.5托的水蒸气和1.5托的氮气的蒸气混合物进行CVD而产生氧化铁(II)FeO膜。
实施例12
锰的CVD
在400℃的衬底温度下由约0.03托的双(N,N′-二异丙基乙脒基)锰(II)、1托的氢气和1托的氮气的蒸气混合物进行CVD而产生导电锰金属膜。其电阻率为390μΩ-cm。
实施例13
氧化锰的CVD
在160℃的衬底温度下由约0.03托的双(N,N′-二异丙基乙脒基)锰(II)、0.5托的水蒸气和1.5托的氮气的蒸气混合物进行CVD而产生氧化锰(II)MnO膜。
实施例14
氮化锰的CVD
在200℃的衬底温度下由约0.03托的双(N,N′-二异丙基乙脒基)锰(II)、0.5托的氨和1.5托的氮气的蒸气混合物进行CVD而产生导电氮化锰(II)Mn3N2膜。
实施例15
氧化钒的CVD
在250℃的衬底温度下由三(N,N′-二异丙基乙脒基)钒(III)、水蒸气和氮气的蒸气混合物进行CVD而产生导电氧化钒(III)V2O3膜。
实施例16
氧化钇的CVD
在280℃的衬底温度下由三(N,N′-二异丙基乙脒基)钇(III)、水蒸气和氮气的蒸气混合物进行CVD而产生电绝缘的氧化钇(III)Y2O3膜。
实施例17
氧氮化铜的沉积
在具有36mm内径(ID)的管式炉型反应器中进行CuON的沉积。使用N,N′-二-仲丁基-乙脒基铜(I)([Cu(sBu-Me-amd)]2)作为Cu前体,其通过随40sccm的N2载气鼓泡而输送。鼓泡器温度为130℃,该温度维持Cu前体为液相,这是因为前体熔点为77℃。气体管线、鼓泡器和阀均位于维持良好的温度均匀性的烘箱内。使用H2O作为氧源,其从室温下的贮存器蒸发而不使用任何载气。通过针形阀控制水蒸气的流速,该针形阀通过用测得的N2流速对比腔室的压力增加来校准。供给NH3作为氮源,其流速通过质量流量控制器进行控制。反应物气体(H2O和NH3)的总流速维持在40sccm,并且H2O与NH3之比设定为40:0、30:10、20:20、10:30或0:40的值。反应气体恰好在进入反应器管(36mm ID)之前在小管(5mm ID)中与Cu前体蒸气混合以确保充分混合。在8托的总腔室压力下于140-220℃的衬底温度下沉积膜。用H2远程等离子体将该膜还原,其将衬底从室温加热到高至50℃的温度。以180sccm用于等离子体引发的Ar和在解离激发时充当还原剂的200sccm H2来供给到环形等离子体发生器(型AX7670,MKS)。还原时间为30-180秒不等。使用具有100nm热氧化物的Si晶片作为衬底。通过溅射将Ru沉积至20nm厚度并将其在CVD之前暴露于大气。
通过原子力显微镜(Asylum MFP-3D AFM)评价沉积状态的CuON膜和经还原的膜的表面形貌。使用2MeV He+卢瑟福背散射光谱法(RBS)测量沉积的膜的厚度和组成。在通过光刻和在稀硝酸中刻蚀制作条形图案后,用AFM测量CuON膜和经还原的Cu膜的物理厚度。通过分别以1(酸):40(水)或1:10的体积比用去离子水稀释的硝酸刻蚀CuON膜和Cu膜。用四点探针(Miller Design & Equipment FPP-5000)评价经还原的Cu膜的电阻率。使用50nm厚的Si3N4膜TEM网格(TED PELLA,INC,Prod No.21500-10)作为衬底通过TEM衍射(JEOL JEL2010 TEM)评价沉积状态的氧氮化铜膜和经还原的膜的相。
CuON的形貌取决于沉积温度。该膜是平滑的,具有<1nm的RMS粗糙度。在160℃下沉积了最平滑的膜,其表面粗糙度稍微大于Si衬底的粗糙度。一直到约180℃的沉积温度,CuON的表面晶粒尺寸恒定在约20nm。在220℃下,该膜仍具有平滑的表面形貌(RMS粗糙度1.04nm)和相当小的晶粒尺寸(ca.40nm),尽管观测到一些较大颗粒。因此,可在约140℃-约180℃和甚至更高的温度范围中沉积具有良好表面形貌的CuON籽晶层。对比在140、180和200℃下沉积在非晶态碳衬底上的膜通过RBS测得的Cu、O和N的原子百分数。在该温度范围中组成没有发生显著改变,表示CuONx在这些沉积条件下是稳定相。形成对比的是,铜化合物例如Cu2O和Cu3N的形貌对沉积温度较敏感。CuON似乎较不受沉积温度影响,因此在宽的加工窗口中获得如此平滑的CuON膜从而得到均匀的组成和形貌。
当然,应意识到,本领域技术人员可对本发明的方法作出各种修改和增加而不背离对现有技术做出的本贡献的精神和范围。因此应理解,试图由此给予的保护应被认为延伸到完全在本发明范围内的权利要求的主题及其所有等效物。
综上所述,本发明提供了如下技术方案:
1.在衬底上形成的集成电路互连结构,该互连结构包含:
位于限定互连结构的衬底表面上的保形氮化钴层;和
位于该氮化钴层上方的含铜导电层。
2.权利要求1的结构,其还包含在氮化钴层和衬底之间的扩散阻挡层。
3.权利要求1的结构,其中氮化钴具有组成CoxN,x为约1-10。
4.权利要求1的结构,其中氮化钴具有组成CoxN,x为约2-6。
5.权利要求1的结构,其中氮化钴具有组成CoxN,x为约3-5。
6.权利要求2的结构,其中扩散阻挡层选自氮化钽、碳化钽、氮化钨、碳化钨及其混合物。
7.根据权利要求1的互连结构,其中氮化钴层是化学气相沉积层。
8.根据权利要求2的互连结构,其中扩散阻挡层是化学气相沉积层。
9.根据权利要求1的互连结构,其中含铜导电层的至少一部分是化学气相沉积层。
10.根据权利要求1的互连结构,其中含铜导电层的至少一部分是电化学沉积层。
11.在衬底上形成的集成电路互连结构的制造方法,包括:
通过化学气相沉积由包含脒基钴蒸气的气体混合物在限定集成电路结构的互连结构的衬底上沉积保形氮化钴层;和
在氮化钴层上方沉积含铜导电层。
12.根据权利要求11的方法,其中脒基钴具有式[Co(AMD)2]和如下结构:
Figure BDA00002853760900231
其中R1、R2、R3、R1′、R2′和R3′可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基、或者其它非金属原子或基团。
13.权利要求12的方法,其中脒基钴是双(N-叔丁基-N′-乙基-丙脒基)钴(II)。
14.权利要求11的方法,其中气体混合物还包含还原剂。
15.权利要求14的方法,其中还原剂是二氢。
16.权利要求11的方法,其中含铜导电层的至少一部分是通过化学气相沉积进行沉积的。
17.权利要求16的方法,其中含铜导电层的至少一部分是通过电化学沉积进行沉积的。
18.权利要求11的方法,其中含铜导电层的至少一部分是由包含脒基铜的蒸气的气体混合物进行沉积的。
19.权利要求18的方法,其中脒基铜具有式[Cu(AMD)]2和如下结构:
其中M是铜,R1、R2、R3、R1′、R2′和R3′可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基、或者其它非金属原子或基团。
20.权利要求18的方法,其中气体混合物包含含氧化合物并且在第一沉积步骤中沉积氧化铜。
21.权利要求18的方法,其中气体混合物包含含氧化合物和含氮化合物,并且在第一沉积步骤中沉积氧氮化铜。
22.权利要求21的方法,还包括将氧氮化铜暴露于还原剂。
23.权利要求20的方法,还包括将氧化铜暴露于还原剂。
24.通过化学气相沉积形成含金属层的方法,该方法包括:
将衬底暴露于包含一种或多种脒基金属的蒸气的气体混合物,所述金属选自金属锂、钠、钾、铍、钙、锶、钡、钪、钇、镧和其它镧系金属、钛、锆、铪、钒、铌、钽、钼、钨、锰、铼、铁、钌、钴、铑、镍、钯、银、锌、镉、锡、铅、锑和铋。
25.权利要求24的方法,其中气体混合物包含含氮气体。
26.权利要求25的方法,其中含氮气体是氨或肼。
27.权利要求26的方法,其中气体混合物还包含还原剂。
28.权利要求27的方法,其中还原剂是二氢气体。
29.权利要求28的方法,其中脒基金属是脒基钴,且含金属层包含氮化钴。
30.权利要求24的方法,其中气体混合物包含还原剂。
31.权利要求30的方法,其中还原剂是二氢。
32.权利要求31的方法,其中脒基金属是脒基钴,且含金属层基本上由钴金属构成。
33.权利要求24的方法,其中气体混合物包含含氧气体。
34.权利要求33的方法,其中含氧气体包含二氧、水蒸气、臭氧或过氧化物。
35.权利要求34的方法,其中含金属层包含金属氧化物。
36.权利要求35的方法,其中金属氧化物包含氧化钴。
37.组合物,该组合物包含双(N-叔丁基-N′-乙基-丙脒基)钴(II)。

Claims (7)

1.在衬底上形成的集成电路互连结构,该互连结构包含:
位于限定互连结构的衬底表面上的保形的多晶态Co4N层;和
位于所述多晶态Co4N层上方的含铜导电层。
2.权利要求1的结构,其还包含在所述多晶态Co4N层和衬底之间的扩散阻挡层。
3.权利要求2的结构,其中所述扩散阻挡层选自氮化钽、碳化钽、氮化钨、碳化钨及其混合物。
4.根据权利要求1的互连结构,其中所述多晶态Co4N层是化学气相沉积的层。
5.根据权利要求2的互连结构,其中所述扩散阻挡层是化学气相沉积的层。
6.根据权利要求1的互连结构,其中所述含铜导电层的至少一部分是化学气相沉积的层。
7.根据权利要求1的互连结构,其中所述含铜导电层的至少一部分是电化学沉积的层。
CN201310057566.3A 2007-04-09 2008-04-09 用于铜互连的氮化钴层及它们的形成方法 Expired - Fee Related CN103151335B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US92248507P 2007-04-09 2007-04-09
US60/922,485 2007-04-09
US99802307P 2007-10-05 2007-10-05
US60/998,023 2007-10-05
CN200880016689XA CN101687896B (zh) 2007-04-09 2008-04-09 用于铜互连的氮化钴层及它们的形成方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200880016689XA Division CN101687896B (zh) 2007-04-09 2008-04-09 用于铜互连的氮化钴层及它们的形成方法

Publications (2)

Publication Number Publication Date
CN103151335A true CN103151335A (zh) 2013-06-12
CN103151335B CN103151335B (zh) 2016-09-28

Family

ID=39853974

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200880016689XA Expired - Fee Related CN101687896B (zh) 2007-04-09 2008-04-09 用于铜互连的氮化钴层及它们的形成方法
CN201310057566.3A Expired - Fee Related CN103151335B (zh) 2007-04-09 2008-04-09 用于铜互连的氮化钴层及它们的形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200880016689XA Expired - Fee Related CN101687896B (zh) 2007-04-09 2008-04-09 用于铜互连的氮化钴层及它们的形成方法

Country Status (8)

Country Link
US (2) US7973189B2 (zh)
EP (2) EP2142682B1 (zh)
JP (3) JP5571547B2 (zh)
KR (2) KR101629965B1 (zh)
CN (2) CN101687896B (zh)
AU (1) AU2008347088A1 (zh)
TW (1) TWI480977B (zh)
WO (1) WO2009088522A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107469853A (zh) * 2017-08-23 2017-12-15 中国科学技术大学先进技术研究院 一种Co4N纳米片及其制备方法和应用
CN110310936A (zh) * 2018-03-27 2019-10-08 台湾积体电路制造股份有限公司 集成电路结构及制造集成电路结构的方法
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
WO2007106788A2 (en) 2006-03-10 2007-09-20 Advanced Technology Materials, Inc. Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films
JP2009536986A (ja) 2006-05-12 2009-10-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 相変化メモリ材料の低温堆積
US20090208637A1 (en) * 2006-06-15 2009-08-20 Advanced Technology Materials, Inc. Cobalt precursors useful for forming cobalt-containing films on substrates
SG176449A1 (en) 2006-11-02 2011-12-29 Advanced Tech Materials Antimony and germanium complexes useful for cvd/ald of metal thin films
WO2009088522A2 (en) * 2007-04-09 2009-07-16 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
WO2008128141A2 (en) * 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
WO2009059237A2 (en) * 2007-10-31 2009-05-07 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
SG152203A1 (en) * 2007-10-31 2009-05-29 Advanced Tech Materials Amorphous ge/te deposition process
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
JP5820267B2 (ja) * 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ 配線用セルフアライン(自己整合)バリア層
US8105937B2 (en) * 2008-08-13 2012-01-31 International Business Machines Corporation Conformal adhesion promoter liner for metal interconnects
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US7727883B2 (en) * 2008-09-30 2010-06-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
WO2010065874A2 (en) 2008-12-05 2010-06-10 Atmi High concentration nitrogen-containing germanium telluride based memory devices and processes of making
JP2010209425A (ja) * 2009-03-11 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
KR101676060B1 (ko) * 2009-03-17 2016-11-14 엔테그리스, 아이엔씨. 보조 금속 종과 함께 루테늄을 침착시키기 위한 방법 및 조성물
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
JP2012533680A (ja) 2009-07-14 2012-12-27 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高温でのiv族金属含有膜の堆積
TW201124552A (en) * 2009-09-02 2011-07-16 Ulvac Inc Method for forming co film
WO2011027834A1 (ja) * 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
JP5225957B2 (ja) * 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
CN102405304A (zh) * 2009-09-29 2012-04-04 东京毅力科创株式会社 Ni膜的成膜方法
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
KR101706809B1 (ko) 2010-03-26 2017-02-15 엔테그리스, 아이엔씨. 게르마늄 안티몬 텔루라이드 물질 및 이를 포함하는 장치
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9177917B2 (en) * 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
CN105732401A (zh) 2010-11-02 2016-07-06 宇部兴产株式会社 (酰胺氨基烷烃)金属化合物及使用所述金属化合物制备含金属的薄膜的方法
US9790378B2 (en) 2010-12-23 2017-10-17 President And Fellows Of Harvard College Vapor source using solutions of precursors in terpenes
US8525232B2 (en) 2011-08-10 2013-09-03 International Business Machines Corporation Semiconductor structure having a wetting layer
US9269652B2 (en) * 2011-12-22 2016-02-23 Intel Corporation Chemically altered carbosilanes for pore sealing applications
JP5919882B2 (ja) * 2012-02-27 2016-05-18 宇部興産株式会社 コバルト化合物の混合物、及び当該コバルト化合物の混合物を用いたコバルト含有薄膜の製造方法
US8916483B2 (en) * 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
JP5842687B2 (ja) * 2012-03-15 2016-01-13 宇部興産株式会社 コバルト膜形成用原料及び当該原料を用いたコバルト含有薄膜の製造方法
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
JPWO2013191065A1 (ja) * 2012-06-18 2016-05-26 東京エレクトロン株式会社 マンガン含有膜の形成方法
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20140206190A1 (en) * 2013-01-23 2014-07-24 International Business Machines Corporation Silicide Formation in High-Aspect Ratio Structures
US9029258B2 (en) * 2013-02-05 2015-05-12 Lam Research Corporation Through silicon via metallization
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
TWI609095B (zh) * 2013-05-30 2017-12-21 應用材料股份有限公司 用於氮化錳整合之方法
US9595469B2 (en) * 2013-11-04 2017-03-14 Infineon Technologies Ag Semiconductor device and method for producing the same
US9685347B2 (en) * 2013-11-04 2017-06-20 Infineon Technologies Ag Semiconductor device and method for producing the same
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9240374B2 (en) * 2013-12-30 2016-01-19 Globalfoundries Singapore Pte. Ltd. Semiconductor device and method of forming thereof
JP6227440B2 (ja) * 2014-02-24 2017-11-08 東京エレクトロン株式会社 凹部にコバルトを供給する方法
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
FR3025396A1 (fr) 2014-09-02 2016-03-04 St Microelectronics Tours Sas Procede de fabrication d'un element de connexion electrique
US9466563B2 (en) 2014-12-01 2016-10-11 Stmicroelectronics, Inc. Interconnect structure for an integrated circuit and method of fabricating an interconnect structure
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10347825B2 (en) 2017-02-17 2019-07-09 International Business Machines Corporation Selective deposition and nitridization of bottom electrode metal for MRAM applications
US20180340255A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Cobalt Oxide Film Deposition
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
CN107195582B (zh) * 2017-07-03 2019-04-12 北方工业大学 一种扩散阻挡层制备方法及铜互连结构
CN107768348B (zh) * 2017-09-25 2019-07-12 江苏时恒电子科技有限公司 一种用于铜互联的导电阻挡层材料及其制备方法
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
TW202021046A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 形成具有嵌入式阻障層的穿孔之方法
CN110970350A (zh) * 2018-09-28 2020-04-07 长鑫存储技术有限公司 包含α-Ta层的扩散阻挡层的制备方法以及复合扩散阻挡层
CN109504950A (zh) * 2019-01-16 2019-03-22 江南大学 一种原子层沉积法制备FexN薄膜的方法
US11817389B2 (en) 2020-03-24 2023-11-14 International Business Machines Corporation Multi-metal interconnects for semiconductor device structures
TWI832407B (zh) * 2022-09-01 2024-02-11 財團法人金屬工業研究發展中心 電漿輔助退火系統及其退火方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02250955A (ja) * 1989-03-24 1990-10-08 Raimuzu:Kk 立方晶コバルト薄膜の形成方法
CN1726303A (zh) * 2002-11-15 2006-01-25 哈佛学院院长等 使用脒基金属的原子层沉积
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9315975D0 (en) 1993-08-02 1993-09-15 Ass Octel Organometallic complexes of gallium and indium
JPH09232435A (ja) * 1996-02-22 1997-09-05 Oki Electric Ind Co Ltd 半導体集積回路
US6133139A (en) * 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
JP3686248B2 (ja) * 1998-01-26 2005-08-24 株式会社日立製作所 半導体集積回路装置およびその製造方法
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6500750B1 (en) * 1999-04-05 2002-12-31 Motorola, Inc. Semiconductor device and method of formation
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6569699B1 (en) * 2000-02-01 2003-05-27 Chartered Semiconductor Manufacturing Ltd. Two layer mirror for LCD-on-silicon products and method of fabrication thereof
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
JP2002043418A (ja) * 2000-07-24 2002-02-08 Nec Corp 半導体装置およびその製造方法
US20020134684A1 (en) * 2000-10-25 2002-09-26 Shipley Company, L.L.C. Seed layer processes
JP4052623B2 (ja) * 2001-03-15 2008-02-27 株式会社東芝 半導体装置の製造方法
WO2004000779A1 (ja) 2002-06-20 2003-12-31 Sankio Chemical Co., Ltd. フェニルオキソカルボン酸エステル誘導体の製造方法
US7560581B2 (en) 2002-07-12 2009-07-14 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US6962873B1 (en) * 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
CN102276644B (zh) * 2003-08-14 2014-09-03 孟山都技术公司 含有过渡金属-碳化物和氮化物的催化剂、它们的制备方法和作为氧化和脱氢催化剂的用途
KR100578104B1 (ko) * 2003-12-16 2006-05-10 한국과학기술원 코발트-질소 박막을 이용한 코발트 다이실리사이드에피층의 형성방법
US7166732B2 (en) * 2004-06-16 2007-01-23 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films
JP4639686B2 (ja) * 2004-07-27 2011-02-23 Jsr株式会社 化学気相成長材料及び化学気相成長方法
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US7816550B2 (en) * 2005-02-10 2010-10-19 Praxair Technology, Inc. Processes for the production of organometallic compounds
JP2006303062A (ja) * 2005-04-19 2006-11-02 Sony Corp 半導体装置の製造方法
US7816549B2 (en) * 2005-08-04 2010-10-19 Tosoh Corporation Metal-containing compound, its production method, metal-containing thin film, and its formation method
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8022552B2 (en) * 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
JP5555872B2 (ja) * 2006-06-28 2014-07-23 プレジデント アンド フェローズ オブ ハーバード カレッジ 金属(iv)テトラ−アミジネート化合物ならびに蒸着においての使用
WO2009088522A2 (en) * 2007-04-09 2009-07-16 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
TWI398541B (zh) * 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
JP5326558B2 (ja) * 2008-12-26 2013-10-30 富士通セミコンダクター株式会社 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02250955A (ja) * 1989-03-24 1990-10-08 Raimuzu:Kk 立方晶コバルト薄膜の形成方法
CN1726303A (zh) * 2002-11-15 2006-01-25 哈佛学院院长等 使用脒基金属的原子层沉积
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107469853A (zh) * 2017-08-23 2017-12-15 中国科学技术大学先进技术研究院 一种Co4N纳米片及其制备方法和应用
CN107469853B (zh) * 2017-08-23 2019-11-29 中国科学技术大学先进技术研究院 一种Co4N纳米片及其制备方法和应用
CN110310936A (zh) * 2018-03-27 2019-10-08 台湾积体电路制造股份有限公司 集成电路结构及制造集成电路结构的方法
US11502050B2 (en) 2018-03-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layer metallic structure and method
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
TW200903718A (en) 2009-01-16
WO2009088522A2 (en) 2009-07-16
WO2009088522A3 (en) 2009-12-30
EP2142682B1 (en) 2014-12-03
JP2014179635A (ja) 2014-09-25
JP2010524264A (ja) 2010-07-15
TWI480977B (zh) 2015-04-11
CN101687896B (zh) 2013-03-27
KR20160030583A (ko) 2016-03-18
AU2008347088A1 (en) 2009-07-16
KR20100016311A (ko) 2010-02-12
US20080254232A1 (en) 2008-10-16
EP2142682A2 (en) 2010-01-13
EP2142682A4 (en) 2011-11-09
EP2857549A2 (en) 2015-04-08
JP5571547B2 (ja) 2014-08-13
JP2013239745A (ja) 2013-11-28
US7973189B2 (en) 2011-07-05
US20110233780A1 (en) 2011-09-29
US8461684B2 (en) 2013-06-11
CN103151335B (zh) 2016-09-28
KR101797880B1 (ko) 2017-11-15
KR101629965B1 (ko) 2016-06-13
JP5890463B2 (ja) 2016-03-22
CN101687896A (zh) 2010-03-31
JP6009419B2 (ja) 2016-10-19
EP2857549A3 (en) 2015-07-15

Similar Documents

Publication Publication Date Title
CN101687896B (zh) 用于铜互连的氮化钴层及它们的形成方法
US7405143B2 (en) Method for fabricating a seed layer
TW478105B (en) Conformal lining layers for damascene metallization
TWI336732B (en) Ti, ta, hf, zr, and related metal silicon amides for ald/cvd of metal-silicon nitrides, oxides or oxynitrides
JP4113099B2 (ja) 半導体基板の表面に金属層を堆積する方法
Zaera The surface chemistry of thin film atomic layer deposition (ALD) processes for electronic device manufacturing
US6955986B2 (en) Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US6986914B2 (en) Metal nitride deposition by ALD with reduction pulse
AU2010310750B2 (en) Self-aligned barrier and capping layers for interconnects
US8563085B2 (en) Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
EP1471568A1 (en) Precursors for metal containing films
US20040092096A1 (en) Oxygen bridge structures and methods to form oxygen bridge structures
GB2332980A (en) A method for forming a conductive layer on a semiconductor substrate
JP2003508897A (ja) 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法
JP2010524264A5 (zh)
KR20150075049A (ko) 구리 금속 필름 및 이의 제조 방법, 및 이를 이용한 반도체 소자용 구리 배선의 형성 방법
Haukka et al. Atomic Layer CVD for Continuously Shrinking Devices
KR20190072382A (ko) 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB03 Change of inventor or designer information

Inventor after: Gerald Gordon Roy

Inventor after: H - B - Bohandali

Inventor after: Jin Xun

Inventor before: Gerald Gordon Roy

Inventor before: Harish Bhandari

Inventor before: Jin Xun

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR; FROM: GERALD GORDON ROY HARISH BHANDARI JIN XUN TO: GERALD GORDON ROY HARISH B. BHANDARI JIN XUN

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160928

CF01 Termination of patent right due to non-payment of annual fee