JP2012533680A - 高温でのiv族金属含有膜の堆積 - Google Patents

高温でのiv族金属含有膜の堆積 Download PDF

Info

Publication number
JP2012533680A
JP2012533680A JP2012520144A JP2012520144A JP2012533680A JP 2012533680 A JP2012533680 A JP 2012533680A JP 2012520144 A JP2012520144 A JP 2012520144A JP 2012520144 A JP2012520144 A JP 2012520144A JP 2012533680 A JP2012533680 A JP 2012533680A
Authority
JP
Japan
Prior art keywords
ntbu
group
metal
mec
branched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012520144A
Other languages
English (en)
Inventor
ガティノー、ジュリアン
コ、チャンヘ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2012533680A publication Critical patent/JP2012533680A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

IV族金属含有前駆体と、IV族金属含有膜{窒化物、酸化物および金属)の高い処理温度での堆積におけるその使用とを開示している。金属中心に結合したシクロペンタジエニル配位子およびイミド配位子の使用は熱安定性を確保し、広範な堆積温度ウィンドウおよび不純物の低い混入を可能にする。IV族金属(チタン、ジルコニウム、ハフニウム)含有膜の堆積は、熱および/またはプラズマ強化CVD、ALDおよびパルスCVDによって行うことができる。
【選択図】 図1

Description

関連出願の相互参照
本願は、米国特許法第119条(e)の定めにより、2009年7月14日に提出し、その内容全体が参照によりここに組み込まれている仮出願第61/225,280号の利益を請求する。
背景
化学気相堆積(CVD)および原子層堆積(ALD)は、薄膜を堆積させるための主な堆積技術として、半導体デバイスの縮小に応じるように適合されてきた。何故なら、CVDおよびALDは、微細な規定厚さおよび高い表面/ステップカバレッジの膜(金属、酸化物、窒化物など)の達成を可能にするからである。膜の成長は、有機金属化合物(前駆体)の化学反応によって得られ、そのため、適切な前駆体を開発し、その反応プロセスを理解することが重要である。
前駆体は所定のタイプの所望の膜へのその適用に基づいて必要な性質を得るように開発されてきた。しかしながら、優れた品質の膜を得るには、いくつかの基本的な性質を考慮すべきである。第1に、それを容れた容器から反応チャンバへの容易な配送のために十分な蒸気圧が必要とされる。第2に、優れた熱安定性が配送前の保管温度での容器における保管中に必要とされる。第3に、堆積チャンバ内で所望の膜に容易に変換されるように、反応ガスに対する強い反応性が必要とされる。もう1つの重要な要件は、通常堆積プロセス中に配位子から生じる膜中の不純物であって、前駆体設計の段階で考慮しなければならない膜中の不純物を制御することである。
いくつかのIV族金属前駆体が既に開発されており、金属酸化物または窒化物膜の堆積に使用されている。主なチタン前駆体は四塩化チタン、TiCl4である。これはチタン含有膜を作るのに広く使用されている。H2Oを用いるALDモードでの酸化チタンのためのテトラキス(ジメチルアミノ)チタン(TDMAT)およびテトラキス(ジエチルアミノ)チタン(TDEAT)などの分子の使用は既に報告されている。たとえばEP0503001を参照のこと。また、いくつかの窒化物膜も、アンモニアを共反応剤として使用して堆積された。
ジルコニウムの場合、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)が、現在のところ、ジルコニウム含有膜、特に酸化ジルコニウムを堆積させるのに使用される標準材料である。この分子の低い分解温度は多くのプロセスにおける問題のままである。
上述の前駆体の他に、新たな分子も開発されている。
特許出願公報(たとえば、US 2008/0102205およびKR 2007/0121281を参照のこと)には、シクロペンタジエニル含有化合物の使用、たとえば以下に示すもののCVD/ALD前駆体としての使用が記載されている。
Figure 2012533680
2つまたは3つのアルキルアミノ配位子を、エチレンジアミノ配位子、アミノアルキルアミノ配位子、およびグアミジナト配位子と共に有する分子が、IV族金属窒化物、金属酸化物、および金属電極用途のCVD/ALD前駆体として言及されている(たとえば米国特許出願公開第2009/0036697号およびWO2009/012341号を参照のこと)。
Figure 2012533680
以下に示すIV族またはV族金属を有するイミドタイプ化合物の重合用触媒としての使用を言及しているいくつかの特許出願公開公報も入手可能である(たとえばWO 2005/123790およびWO 2008/148499を参照のこと)。
Figure 2012533680
合成側では、シクロペンタジエニルイミドチタンの化学に関する多くの研究論文が、オックスフォード大学のPhilip Mountfordのグループによって発表されている(Dunnら, J. Chem. Soc., Dalton Trans., (1997), 293-304;Stewartら, J. Organometallic Chemistry 564 (1998) 209-214;Stewartら, Organometallics 17 (1998) 3271-3281; Guiducciら, Organometallics 25, (2006), 1167-1187)。記載された分子のうちのいくつかを以下に示す。
Figure 2012533680
開発された分子の中で、いくつかは液体であったが、その熱安定性は示されなかった。出願人は、このような分子は決して半導体用途のために考慮されなかったと思っている。
いくつかを以下に示すが、イミドタイプの金属前駆体を使用するCVD/ALDに関するいくつかの研究論文が、ユニバーシティ・カレッジ・ロンドンのC.J. Carmaltのグループによって発表されている:C.J. Carmaltら, “Synthesis of TiN thin films from titanium imido complexes”, Journal of Materials Chemistry 13, 2003, 84-87;C.J. Carmaltら, “Titanium imido complexes as precursors to titanium nitride”, J. Chem. Soc., Dalton Trans., 2002, 4055-4059;Pottsら, “Tungsten Imido Complexes as Precursors to Tungsten Carbonitride thin films”, Dalton Transactions 2008, 5730-5736。
Figure 2012533680
TiCl4の広範な使用は、塩素化した副生物の堆積ラインでの導入をもたらす。これらの塩素化した副生物は、それらの腐食性および毒性のせいで望ましくない。現在使用中の他の分子、たとえばTDMATおよびTDEATは乏しい熱安定性を示し、このことは、それらを高温(400℃まで)堆積のために、特にALDモデルで使用することを不可能にする。
制御された厚さおよび組成を有する膜の高温での堆積を可能にする、ハロゲンを含まない分子の開発の必要が残っている。
概要
IV族金属含有膜をリアクタ内で1枚以上の基板上に堆積させる方法を開示する。以下の一般式を有する金属含有前駆体を用意する:
M(NR)X1mX2n (I)
ここで:
− Mはチタン、ハフニウム、またはジルコニウムであり;
− RはH;C1−C4の線状、分枝、もしくは環式のアルキル基もしくはパーフルオロアルキル基;またはC1−C4の線状、分枝、もしくは環式のアルキルシリル基もしくはパーフルオロアルキルシリル基であり;
− X1はシクロペンタジエニル、ペンタジエニル、シクロヘキサジエニル、ヘキサジエニル、シクロヘプタジエニル、ヘプタジエニル、シクロオクタジエニル、およびオクタジエニルからなる群より選択され(C1−C4の線状、分枝、もしくは環式のアルキル基;C1−C4の線状、分枝、もしくは環式のアルキルシリル基;C1−C4のアルキルアミノ基;C1−C4の線状、分枝、もしくは環式のフルオロアルキル基;またはこれらの組み合わせによって置換されていてもよい);
− X2は水素;酸素;線状、分枝、もしくは環式のC1−C8のアルキル;線状、分枝、もしくは環式のC1−C8のアルコキシ;線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;線状、分枝、もしくは環式のC1−C8のパーフルオロアルキル;C1−C4の線状、分枝、もしくは環式のアルキルシリル;線状、分枝、もしくは環式のC1−C8のパーフルオロアルコキシ;C1−C8のアルケン;ホルムアミジナート;アミジナート;グアミジナート;カルボニル;ニトリル;イソシアナート;エチレン;ハロゲン;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択され(C1−C4の線状、分枝、もしくは環式のアルキル基;C1−C4のアルキルアミノ基;C1−C4の線状、分枝、もしくは環式のフルオロアルキル基;またはこれらの組み合わせによって置換されていてもよい);
− m=0または1であり;
− n=1または2であり;
− m+n=2である。
この金属含有前駆体を気化させて、気化前駆体を形成する。気化前駆体をリアクタに導入する。気化前駆体の少なくとも一部を基板上に堆積させて、IV族金属含有膜を形成する。開示する方法は以下の態様のうちの1つ以上を含むことができる:
・X1=Cpである;
・X2は線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択される;
・X2はホルムアミジナート、アミジナート、またはβ−ジケチミナートである;
・m=1であり、n=1である;
・金属含有前駆体は、以下からなる群より選択される:
TiCp(NtBu)(NMe2)、TiCp(NtBu)(NEt2)、TiCp(NtBu)(N(EtMe)2)、TiCp(NtBu)(N(SiMe32)、TiCp(NtBu)[MeC(NSiMe32]、TiCp(NtBu)[MeC(NiPr)2]、TiCp(NtBu)[MeC(NtBu)2]、TiCp(NtBu)[MeC(NtBuEt)2]、TiCp(NtBu)[HC(C(Me)N(Me))2]、TiCp(NtBu)[HC(C(Me)N(Et))2]、
HfCp(NtBu)(NMe2)、HfCp(NtBu)(NEt2)、HfCp(NtBu)(N(EtMe)2)、HfCp(NtBu)(N(SiMe32)、HfCp(NtBu)[MeC(NSiMe32]、HfCp(NtBu)[MeC(NiPr)2]、HfCp(NtBu)[MeC(NtBu)2]、HfCp(NtBu)[MeC(NtBuEt)2]、HfCp(NtBu)[HC(C(Me)N(Me))2]、HfCp(NtBu)[HC(C(Me)N(Et))2]、
ZrCp(NtBu)(NMe2)、ZrCp(NtBu)(NEt2)、ZrCp(NtBu)(N(EtMe)2)、ZrCp(NtBu)(N(SiMe32)、ZrCp(NtBu)[MeC(NSiMe32]、ZrCp(NtBu)[MeC(NiPr)2]、ZrCp(NtBu)[MeC(NtBu)2]、ZrCp(NtBu)[MeC(NtBuEt)2]、ZrCp(NtBu)[HC(C(Me)N(Me))2]、およびZrCp(NtBu)[HC(C(Me)N(Et))2];
・m=0であり、n=2である;
・金属含有前駆体は以下からなる群より選択される:
Ti(NtBu)[HC(C(Me)N(Me))22、Ti(NtBu)[HC(C(Me)N(Et))22
Hf(NtBu)[HC(C(Me)N(Me))22、Hf(NtBu)[HC(C(Me)N(Et))22
Zr(NtBu)[HC(C(Me)N(Me))22、およびZr(NtBu)[HC(C(Me)N(Et))22
・堆積工程は化学気相堆積(CVD)プロセスまたは原子層堆積(ALD)プロセスを含む;
・IV族金属含有膜はIV族金属膜、IV族金属酸化物膜、およびIV族金属窒化物膜からなる群より選択される;
・異種金属元素の前駆体をリアクタに導入して、IV族金属含有膜の組成を調整する;
・リアクタは約50〜約600℃、好ましくは約200℃〜約500℃、より好ましくは約300℃〜約400℃の温度を有する;
・リアクタは約0.0001Torr〜約1000Torr、好ましくは約0.1Torr〜約10Torrの圧力を有する;
・反応剤をリアクタに導入し、この反応剤を気化前駆体と反応させる;
・反応剤は還元剤を含む;
・反応剤はN2、H2、NH3、SiH4、Si26、Si38、(CH32SiH2、(C252SiH2、(CH3)SiH3、(C25)SiH3、フェニルシラン、N24、N(SiH33、N(CH3)H2、N(C25)H2、N(CH32H、N(C252H、N(CH33、N(C253、(SiMe32NH、(CH3)HNNH2、(CH32NNH2、フェニルヒドラジン、N含有分子、B26、9-ボラビシクロ[3,3,1]ノナン、ジヒドロベンゼンフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、これらのラジカル種、およびこれらの混合物からなる群より選択される;
・反応剤は酸化剤を含む;
・反応剤はO2、O3、H2O、H22、NO、NO2、カルボン酸、蟻酸、酢酸、プロピオン酸、これらのラジカル種、およびこれらの混合物からなる群より選択される。
また、開示する方法の生成物を含むIV族金属含有膜でコーティングした基板を開示する。
表記法および命名法
特定の系の構成要素を表すために、いくつかの用語を以下の説明および特許請求の範囲を通じて使用している。
元素の周期表からの元素の標準的な略語をここでは使用する。元素をこれらの略語により表すことがあることを理解されたい(たとえば、Tiはチタンを表し、Hfはハフニウムを表し、Zrはジルコニウムを表す、など)。
ここで使用する限りにおいて、用語「独立して」は、R基を説明する文脈で使用される場合、当該R基が、同じまたは異なる下付きまたは上付きをもつ他のR基に対して独立して選択されるだけでなく、同じR基の任意の追加の種に対しても独立して選択されることを意味すると理解されたい。たとえば、xが2または3である式MR1 x(NR23(4-x)において、2つまたは3つのR1基は、必要ではないが、互いに同じでもよいし、またはR2もしくはR3と同じでもよい。さらに、特別に述べない限り、R基の価数は、異なる式において使用される場合、互いに独立していると理解されたい。
ここで使用する限りにおいて、用語「アルキル基」はもっぱら炭素原子および水素原子を含む飽和官能基を表す。さらに、用語「アルキル基」は線状、分枝、または環式のアルキル基を表す。線状アルキル基の例としては、限定されないが、メチル基、エチル基、プロピル基、ブチル基などが挙げられる。分枝アルキル基の例としては、限定されないが、t−ブチルが挙げられる。環式アルキル基の例としては、限定されないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
ここで使用する限りにおいて、略語「Me」はメチル基を表し;略語「Et」はエチル基を表し;略語「Pr」はプロピル基を表し;略語「iPr」はイソプロピル基を表し;略語「Bu」はブチル(n−ブチル)を表し;略語「tBu」はtert−ブチルを表し;略語「sBu」はsec−ブチル基を表し;略語「acac」はアセチルアセトナト/アセチルアセトナートを表し;略語「tmhd」は2,2,6,6−テトラメチル−3,5−ヘプタジオナトを表し;略語「od」は2,4−オクタジノナトを表し;略語「mhd」は2−メチル−3,5−ヘキサジオナトを表し;略語「tmod」は2,2,6,6−テトラメチル−3,5−オクタジオナトを表し;略語「ibpm」は2,2,6−トリメチル−3−5−ヘプタジオナトを表し;略語「hfac」はヘキサフルオロアセチルアセトナトを表し;略語「tfac」はトリフルオロアセチルアセトナトを表し;略語「Cp」はシクロペンタジエニルを表し;略語「Cp*」はペンタメチルシクロペンタジエニルを表し;略語「cod」はシクロオクタジエンを表し;略語「dkti」および構造R1C(C(R2)N(R3))2はジケトイミン/ジケトイミナート(ここで、R1は以下の構造におけるdkti配位子の軸においてCと結合したR配位子であり、各R2は独立してdkti鎖においてCと結合したR配位子であり、各R3は独立してNに結合したR配位子であり、たとえばHC(C(Me)N(Me))2である)を表し;略語「emk」はエナミノケトン/エナミノケトナート(R配位子は窒素原子に結合していても)を表し;略語「amd」および構造R1C(N(R2))2はアミジナート(ここで、R1は、以下の構造においてCと結合しているR配位子であり、各R2は独立して各Nと結合しているR配位子であり、たとえばMeC(N(SiMe32)である)を表し;略語「formd」はホルムアミジナートを表し;略語「dad」はジアザブタジエン(Rが窒素原子上にあっても)を表す。
上で説明した配位子のうちのいくつかの一般構造をより十分に理解するために、これらの構造を以下に示す。ここでは、各Rは独立して、H;C1−C6の線状、分枝、もしくは環式のアルキルもしくはアリール基;アミノ置換基(たとえば以下に示すように金属Mに結合したNR12もしくはNR123であり、ここで、R1、R2、およびR3は、H、およびC1−C6の線状、分枝、または環式のアルキルまたはアリール基から独立して選択される);およびアルコキシ置換基(たとえば、以下に示すように金属Mに結合したOR4またはOR45であり、ここでR4およびR5はH、およびC1−C6の線状、分枝または環式のアルキルまたはアリール基から独立して選択される)から独立して選択される。
Figure 2012533680
本発明の性質および対象をさらに理解するために、添付の図面と組み合わせて以下の詳細な説明を参照されたい。
図1は、TiCp(NtBu)[MeC(N(SiMe3))2]の温度に対する質量減少の割合を示す熱重量分析(TGA)のグラフである。 図2は、TiCp(NtBu)[MeC(NiPr)2]の温度に対する質量減少の割合を示すTGAグラフである。 図3は、TiCp(NtBu)[MeC(NtBu)2]の温度に対する質量減少の割合を示すTGAグラフである。 図4は、TiCp(NtBu)[MeC(NtBu/Et)2]の温度に対する質量減少の割合を示すTGAグラフである。 図5は、TiCp(NtBu)[HC(C(Me)N(Me))2]の温度に対する質量減少の割合を示すTGAグラフである。 図6は、TiCp(NtBu)[HC(C(Me)N(Et))2]の温度に対する質量減少の割合を示すTGAグラフである。 図7は、Ti(NtBu)[HC(C(Me)N(Me))22の温度に対する質量減少の割合を示すTGAグラフである。 図8は、Ti(NtBu)[HC(C(Me)N(Et))22の温度に対する質量減少の割合を示すTGAグラフである。 図9は、TiCp(NtBu)[MeC(N(SiMe3))2]およびテトラキス(エチルメチル)アミノチタン(TEMAT)についての示差走査熱量分析結果のグラフである。 図10は、テトラキス(ジエチルアミノ)チタン(TDEAT)およびTEMATと比較した、開示する分子のうちのいくつかの熱分解温度のグラフである。
好ましい実施形態の説明
半導体、光電池、LCT−TFT、またはフラットパネルタイプディスプレーの製造で使用できる方法、装置、および化合物についての非限定的な実施形態をここに開示する。
高いプロセス温度でのIV族金属含有膜(窒化物、酸化物、および金属)の堆積のために使用できるIV族金属含有前駆体を開示する。開示する前駆体は以下の式を有する:
M(NR)X1mX2n (I)
ここで:
− MはIV族金属、たとえばチタン、ハフニウム、またはジルコニウムであり;
− RはH;C1−C4の線状、分枝、もしくは環式のアルキル基もしくはパーフルオロアルキル基(ここで、置換基の全てがFであるまたは全てがFでない、すなわち全体的にフッ素化されているまたはフッ素化されていない);またはC1−C4の線状、分枝、もしくは環式のアルキルシリル基(モノ、ビス、またはトリスアルキル)もしくはパーフルオロアルキルシリル基(ここで、置換基の全てがFであるまたは全てがFでない、すなわち全体的にフッ素化されているまたはフッ素化されていない)であり;
− X1はシクロペンタジエニル、ペンタジエニル、シクロヘキサジエニル、ヘキサジエニル、シクロヘプタジエニル、ヘプタジエニル、シクロオクタジエニル、およびオクタジエニルからなる群より選択され(C1−C4の線状、分枝、もしくは環式のアルキル基;C1−C4の線状、分枝、もしくは環式のアルキルシリル基(モノ、ビス、またはトリスアルキル);C1−C4のアルキルアミノ基;C1−C4の線状、分枝、もしくは環式のフルオロアルキル基(ここで、置換基の全てがFであるまたは全てがFでない、すなわち全体的にフッ素化されているまたはフッ素化されていない);またはこれらの組み合わせによって置換されていてもよい);
− X2は水素;酸素;線状、分枝、もしくは環式のC1−C8のアルキル;線状、分枝、もしくは環式のC1−C8のアルコキシ;線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;線状、分枝、もしくは環式のC1−C8のパーフルオロアルキル(ここで、置換基の全てがFであるまたは全てがFでない、すなわち全体的にフッ素化されているまたはフッ素化されていない);C1−C4の線状、分枝、もしくは環式のアルキルシリル(モノ、ビス、またはトリスアルキル);線状、分枝、もしくは環式のC1−C8のパーフルオロアルコキシ(ここで、置換基の全てがFであるまたは全てがFでない、すなわち全体的にフッ素化されているまたはフッ素化されていない);C1−C8のアルケン;ホルムアミジナート;アミジナート;グアミジナート;カルボニル;ニトリル;イソシアナート;エチレン;F、Cl、Br、またはIなどのハロゲン;アルキルジエン、たとえばブタジエン、ペンタジエン、ヘキサジエン、ヘプタジエン、オクタジエンなど;シクロアルキルジエン、たとえばシクロブタジエン、シクロペンタジエン、シクロヘキサジエン、シクロヘプタジエン、シクロオクタジエンなど;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択され(C1−C4の線状、分枝、もしくは環式のアルキル基;C1−C4のアルキルアミノ基;C1−C4の線状、分枝、もしくは環式のフルオロアルキル基(ここで、置換基の全てがFであるまたは全てがFでない、すなわち全体的にフッ素化されているまたはフッ素化されていない);またはこれらの組み合わせによって置換されていてもよい);
− m=0または1であり;
− n=1または2であり;
− m+n=2である。
開示するIV族金属含有前駆体は熱的に安定であり、ハロゲンフリーでありうる。金属中心に結合したシクロペンタジエニル配位子およびイミド配位子の使用は熱安定性を確保し、広範な堆積温度ウィンドウを可能にし、不純物の低い混入を可能にする。開示する前駆体は、文献に記載されているように調製できる。たとえば、上述の背景で挙げたオックスフォード大学のPhilip Mountfordのグループによって出された論文を参照されたい。開示する前駆体を調製する例示的な方法としては以下の例に示したものがさらに挙げられる。
好ましくは、m=1であり、n=1である場合、X1=Cpであり、X2は線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より選択される。さらにより好ましくは、X2はホルムアミジナート、アミジナート、またはβ−ジケトイミナートである。この式の例示的な化合物としては限定はされないが:
TiCp(NtBu)(NMe2)、TiCp(NtBu)(NEt2)、TiCp(NtBu)(N(EtMe)2)、TiCp(NtBu)(N(SiMe32)、TiCp(NtBu)[MeC(NSiMe32]、TiCp(NtBu)[MeC(NiPr)2]、TiCp(NtBu)[MeC(NtBu)2]、TiCp(NtBu)[MeC(NtBuEt)2]、TiCp(NtBu)[HC(C(Me)N(Me))2]、TiCp(NtBu)[HC(C(Me)N(Et))2]、
HfCp(NtBu)(NMe2)、HfCp(NtBu)(NEt2)、HfCp(NtBu)(N(EtMe)2)、HfCp(NtBu)(N(SiMe32)、HfCp(NtBu)[MeC(NSiMe32]、HfCp(NtBu)[MeC(NiPr)2]、HfCp(NtBu)[MeC(NtBu)2]、HfCp(NtBu)[MeC(NtBuEt)2]、HfCp(NtBu)[HC(C(Me)N(Me))2]、HfCp(NtBu)[HC(C(Me)N(Et))2]、
ZrCp(NtBu)(NMe2)、ZrCp(NtBu)(NEt2)、ZrCp(NtBu)(N(EtMe)2)、ZrCp(NtBu)(N(SiMe32)、ZrCp(NtBu)[MeC(NSiMe32]、ZrCp(NtBu)[MeC(NiPr)2]、ZrCp(NtBu)[MeC(NtBu)2]、ZrCp(NtBu)[MeC(NtBuEt)2]、ZrCp(NtBu)[HC(C(Me)N(Me))2]、およびZrCp(NtBu)[HC(C(Me)N(Et))2
が挙げられる。
好ましくは、m=0であり、n=2である場合、X2は線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択される。さらにより好ましくは、X2はホルムアミジナート、アミジナート、またはβ−ジケトイミナートである。この式の例示的な化合物としては、限定はされないが
Ti(NtBu)[HC(C(Me)N(Me))22、Ti(NtBu)[HC(C(Me)N(Et))22
Hf(NtBu)[HC(C(Me)N(Me))22、Hf(NtBu)[HC(C(Me)N(Et))22
Zr(NtBu)[HC(C(Me)N(Me))22、およびZr(NtBu)[HC(C(Me)N(Et))22
が挙げられる。
開示する前駆体は、当業者に知られている任意の堆積方法を使用して薄膜を堆積させるのに使用できる。好適な堆積方法の例としては、限定されないが、通常の化学気相堆積(CVD)、低圧化学気相堆積(LPCVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)、パルス化学気相堆積(PCVD)、プラズマ強化原子層堆積(PEALD)、またはこれらの組み合わせが挙げられる。
開示する前駆体は、純粋な状態で供給できるし、または好適な溶媒、たとえばエチルベンゼン、キシレン、メシチレン、デカン、ドデカンとのブレンドとして供給できる。開示する前駆体は溶媒中に種々の濃度で存在できる。
純粋なまたはブレンドした前駆体を、通常の手段、たとえば配管および/または流量計によって、蒸気の状態でリアクタに導入する。蒸気の状態にある前駆体は、純粋なまたはブレンドした前駆体溶液を通常の気化工程、たとえば直接気化、蒸留などにより気化させることによって、またはバブリングによって製造できる。純粋なまたはブレンドした前駆体を液体の状態で気化器に供給して、そこでそれをリアクタに導入する前に気化してもよい。あるいは、前駆体を容れた容器にキャリアガスを通すことによって、またはキャリアガスを前駆体にバブリングすることによって、純粋なまたはブレンドした前駆体を気化させてもよい。キャリアガスとしては、限定されないが、Ar、He、N2、およびこれらの混合物が挙げられる。キャリアガスでのバブリングは、純粋なまたはブレンドした前駆体溶液中に存在する溶存酸素を除去することもできる。その後、キャリアガスおよび前駆体を蒸気としてリアクタに導入する。
必要であれば、開示する前駆体の容器を、前駆体がその液相でいることおよび十分な蒸気圧を有することを許容する温度まで加熱してもよい。この容器はたとえば約0℃〜約150℃の範囲にある温度に維持してもよい。当業者であれば、容器の温度を周知の方法で調節して気化させる前駆体の量を調節できることが分かる。
リアクタは、中で堆積方法が実行されるデバイスの内部の任意の閉鎖容器またはチャンバでよく、たとえば、限定されないが、前駆体を反応させて層を形成するのに好適な条件下にある、平行板タイプリアクタ、コールドウォールタイプリアクタ、ホットウォールタイプリアクタ、枚様式リアクタ、マルチウェハリアクタ、または他のタイプの堆積システムでありうる。
一般に、リアクタは1枚以上の基板を収容し、この上に膜を堆積させる。この1枚以上の基板は、半導体、光電池、フラットパネルまたはLCD−TFTデバイス製造において使用される任意の好適な基板でありうる。好適な基板の例としては、限定されないが、シリコン基板、シリカ基板、窒化珪素基板、酸窒化珪素基板、タングステン基板、またはこれらの組み合わせが挙げられる。加えて、タングステンまたは貴金属(たとえば、白金、パラジウム、ロジウム、または金)を含む基板を使用できる。また、基板は、前の製造工程から既にその上に堆積している異種材料の1つ以上の層を有してもよい。
リアクタ内の温度および圧力は、ALDまたはCVD堆積に好適な条件に保持される。言い換えると、気化した前駆体をチャンバに導入した後、チャンバ内の条件は、気化した前駆体の少なくとも一部が基板上に堆積して金属含有膜を形成するようなものである。たとえば、リアクタ内の圧力は、堆積パラメータによって必要とされるのに応じて、約0.0001torr〜約1000torr、または好ましくは約0.1torrないし10torrに保持されうる。同様に、リアクタ内の温度は、約50℃〜約600℃、好ましくは約200℃〜約500℃、より好ましくは約300℃〜約400℃に保持されうる。
開示する前駆体に加えて、反応剤をリアクタにさらに導入する場合がある。反応剤は酸化性ガス、たとえばO2、O3、H2O、H22、NO、NO2、カルボン酸、蟻酸、酢酸、プロピオン酸、およびこれらの混合物のうちの1つでありうる。あるいは、反応剤は還元性ガス、たとえばH2、NH3、SiH4、Si26、Si38、(CH32SiH2、(C252SiH2、(CH3)SiH3、(C25)SiH3、フェニルシラン、N24、N(SiH33、N(CH3)H2、N(C25)H2、N(CH32H、N(C252H、N(CH33、N(C253、(SiMe32NH、(CH3)HNNH2、(CH32NNH2、フェニルヒドラジン、N−含有分子、B26、9−ボラビシクロ[3,3,1]ノナン、ジヒドロベンゼンフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、これらのラジカル種、およびこれらの混合物のうちの1つでありうる。
反応剤をプラズマで処理して、反応剤をそのラジカルの形態に分解することもできる。また、プラズマで処理する場合、N2を還元性ガスとして利用することもできる。たとえば、プラズマは、約50W〜約500W、好ましくは約100W〜約200Wの範囲のパワーで発生させることができる。プラズマは、リアクタ自体の中で発生させてもよいしまたはそこに存在してもよい。あるいは、プラズマを反応チャンバから離れた場所、たとえば遠隔設置されたプラズマシステムで発生させてもよい。当業者であれば、このようなプラズマ処理に好適な方法および装置が分かるであろう。
チャンバ内の気相堆積条件は、開示する前駆体および反応剤を反応させて基板上にIV族金属含有膜を形成させる。いくつかの実施形態では、出願人は、反応剤をプラズマ処理
することは、開示する前駆体との反応に必要なエネルギーを有する反応剤を提供しうることを信じている。
堆積させようとする膜の種類が何であるかに応じて、第2の前駆体をリアクタに導入する場合がある。第2の前駆体は異種金属供給源、たとえば銅、プラセオジム、マンガン、ルテニウム、チタン、タンタル、ビスマス、ジルコニウム、ハフニウム、鉛、ニオブ、マグネシウム、アルミニウム、ランタン、またはこれらの混合物でありうる。第2の金属含有前駆体を利用する場合、基板上に堆積して得られた膜は少なくとも2種類の異なる金属種を含有することができる。
開示する前駆体および任意の反応剤または前駆体は、反応チャンバに逐次的に導入(ALDのように)してもよいし、または同時に導入(CVDのように)してもよい。前駆体の導入と反応剤の導入との間に、反応チャンバを不活性ガスを用いてパージしてもよい。あるいは、反応剤および前駆体を互いに混合して反応剤/前駆体混合物をつくり、次にこれを混合物の状態でリアクタに導入してもよい。
気化した前駆体および反応剤をパルスとして逐次的にまたは同時(たとえばパルスCVD)にリアクタに送ることができる。前駆体の各パルスは約0.01秒〜約10秒、あるいは約0.3秒〜約3秒、あるいは約0.5秒〜約2秒の範囲にある期間にわたって継続させることができる。もう1つの実施形態では、さらに反応剤をパルスでリアクタに送ってもよい。このような実施形態では、各ガスのパルスは約0.01秒〜約10秒、あるいは約0.3秒〜約3秒、あるいは約0.5秒〜約2秒の範囲にある期間にわたって継続させることができる。
特定のプロセスパラメータに応じて、種々の長さの時間にわたって堆積を行うことができる。一般に、必要な性質を有する膜を製造するのに望まれるまたはそれに必要なだけ長く堆積を継続させることができる。典型的な膜厚は、具体的な堆積プロセスに依存するが、数百オングストローム〜数百ミクロンでありうる。また、所望の膜を得るのに必要なだけ多くの回数、堆積プロセスを行うこともできる。
1つの非限定的な例示的CVDタイププロセスでは、開示する前駆体の蒸気相および反応剤を同時にリアクタに導入する。これら2つが反応してその結果薄膜を形成する。この例示的CVDプロセスでの反応剤をプラズマで処理する場合、この例示的なCVDプロセスは例示的なPECVDプロセスとなる。共反応剤を、チャンバへの導入の前にまたはそれに続けてプラズマで処理してもよい。
1つの非限定的な例示的ALDタイププロセスでは、開示する前駆体の蒸気相をリアクタに導入し、ここでそれを好適な基板に接触させる。その後、過剰な前駆体は、リアクタをパージおよび/または排気することによってリアクタから除去できる。還元性ガス(たとえば、H2)をリアクタに導入し、ここでそれを吸収された前駆体と自己停止方式で反応させる。過剰な還元性ガスは、リアクタをパージおよび/または排気することによってリアクタから除去する。所望の膜が金属膜である場合、この2工程プロセスが所望の膜を提供する場合があるし、または必要な厚さを有する膜が得られるまでこのプロセスを繰り返すことができる。
あるいは、所望の膜が二成分からなる膜である場合、上記2工程プロセスに続けて、第2の金属含有前駆体の蒸気をリアクタに導入してもよい。第2の金属含有前駆体は、堆積させる二成分からなる膜の性質に基づいて選択されるであろう。リアクタへの導入後、第2の金属含有前駆体は基板に接触する。過剰な第2の金属含有前駆体はリアクタをパージおよび/または排気することによってリアクタから除去される。先と同じように、還元性ガスをリアクタに導入して、第2の金属含有前駆体と反応させる。過剰な還元性ガスはリアクタをパージおよび/または排気することによってリアクタから除去できる。所望の膜厚が達成されたら、プロセスを終わらせることができる。しかしながら、より厚い膜が所望されるならば、4工程プロセス全てを繰り返すこともできる。金属含有前駆体、第2の金属含有前駆体、および反応剤を交互に供給することにより、所望の組成および厚さの膜を堆積させることができる。
この例示的ALDプロセスでの反応剤をプラズマで処理する場合、この例示的なALDプロセスは例示的なPEALDプロセスとなる。共反応剤を、チャンバへの導入の前にまたはそれに続けてプラズマで処理してもよい。
上で説明したプロセスによって得られるIV族金属含有膜または金属含有層としては、純銅(M)、金属珪酸塩(MkSil)、金属酸化物(Mnm)、または金属酸窒化物(Mxyz)の膜(ここで、M=Ti、Zr、またはHfであり、k、l、m、n、x、y、およびzは包括的に1ないし6の範囲にある整数である)を挙げることができる。当業者であれば、適切な開示された前駆体、任意の第2の金属含有前駆体、および共反応剤種の公平な選択によって、所望の膜組成を得ることができるが分かるであろう。

本発明の実施形態をさらに説明するために、以下の非限定的な例を提供する。しかしながら、この例は包括的であることを意図したものではないし、発明の範囲をここで説明するものに限定することを意図したものではない。
例1 − M(NR)X1X2(ここで、M=Tiであり、NR=tert−ブチルイミドであり、X1=Cpであり、X2=amdである)の合成
Figure 2012533680
化合物1:シクロペンタジエニル(tert−ブチルイミド)ジアルキルアミジナトチタン{TiCp(NtBu)[MeC(NR)2]}(ここで、R=トリメチルシリル(SiMe3)である)をTiCl4から3つの反応工程により得た。
工程1:tBuNH2(60mL、6.3eq)を15分かけて、TiCl3(10mL、0.091mol)のジクロロメタン(150mL)溶液に滴下し、−50℃で30分間攪拌した。得られた橙色の溶液を室温まで温め、その後攪拌を5時間続けた。反応混合物をろ過して、橙色の溶液を得、これにピリジン(17mL、2.3eq)を添加した。4時間後、揮発分を混合物から除去し、残留した固体を、150mLの10:1のトルエン:ジクロロメタン混合物に抽出した。得られた濃い橙色の溶液を再度ろ過して、減圧下で蒸発乾固させた。抽出を2回繰り返し、続けてペンタン(50mL)で洗浄した。溶液を蒸発乾固させ、減圧しながら乾燥させた後、22.0gのTi(NtBu)Cl2Py2が橙色の固体として提供された。収率:70%。NMR(CDCl3):1H(400MHz)、9.20(4H、d,NC55のo−H)、7.78(2H、t、NC55のp−H)、7.34(4H、t、NC55のm−H)および0.85(9H、s、NtBu)
工程2:工程1の橙色の固体Ti(NtBu)Cl2Py2(3g、8.7mmol)のTHF(40mL)中の溶液に、LiCp(0.67g、8.7mmol)のTHF(40mL)溶液をカニューレを通して室温で添加した。溶液は暗くなり、攪拌を5時間続けた。次に、揮発分を減圧下で除去し、残留物をEt2Oおよびペンタン(各30mL)に抽出した。この溶液をろ過して、減圧下で蒸発乾固させて、2.0gのTi(NtBu)CpClPyが明るい赤色固体として提供された。収率:80%。NMR(CDCl3):1H(400MHz)、8.73(2H、d、NC55のo−H)、7.82(1H、NC55のp−H)、7.34(2H、t、NC55のm−H)、6.34(5H、s、C55)、および1.07(9H、s、NtBu)
工程3:工程2の赤色の固体Ti(NtBu)CpClPy(1.5g、5.0mmol)のTHF(30mL)溶液に、Li[MeC(N(SiMe3))2](1.04g、5.0mmol)のTHF(30mL)溶液を−78℃で添加した。得られた混合物は直ちに暗くなった。反応混合物を室温まで温め、攪拌を12時間続けた。次に、揮発分を減圧下で除去し、残留物をペンタン(30mL)に抽出してろ過し、濃い赤色の粘着性固体を得た。それを蒸留して、0.5gのTiCp(NtBu)[MeC(N(SiMe3))2]が紫色の液体として提供された。収率:30%。NMR(C66):1H(400MHz)、6.63(5H、s、C55)、1.87(3H、s、CH3)、1.11(9H、s、NtBu)、および0.14(9H、s、SiMe3)。
別の種類のアミジナート、たとえばR=iPrである化合物2、R=tBuである化合物3、R=tBu/Etである化合物4を、上で説明したのと同様の手順を使用して合成した。全ての分子は、紫色の固体であった化合物3を除き、紫色の液体として得られた。
例2 − M(NR)X1X2(ここで、M=Tiであり、NR=tert−ブチルイミドであり、X1=Cpであり、X2=dktiである)の合成
Figure 2012533680
化合物5:シクロペンタジエニル(tert−ブチルイミド)(β−ジケトイミナト)チタン{TiCp(NtBu)[HC(C(Me)N(R))2]}(ここでR=Meである)を、工程3を以下のものに換えた以外は例1と同様の手順を使用して、TiCl4から3つの反応工程により得た。
工程3:工程2の生成物Ti(NtBu)CpClPy(1.5g、5.0mmol)のTHF(30mL)溶液に、Li[HC(C(Me)N(Me))2](0.66g、5.0mmol)のTHF(30mL)溶液を−78℃で添加した。混合物は直ちに暗くなった。反応混合物を室温まで温め、攪拌を12時間続けた。次に、揮発分を減圧下で除去し、残留物をペンタン(30mL)に抽出してろ過し、濃い赤色の粘着性固体を得た。それを減圧下で昇華させて、0.5gの赤色粉末として提供された。収率:30%。NMR(C66):1H(400MHz)、6.03(5H、s、C55)、4.82(1H、s、CH)、3.06(6H、s、CCH3)、1.57(6H、s、NCH3)および1.30(9H、s、NtBu)。
ジケトイミナート構造を有する第2の化合物、化合物6(ここでR=Etである)を、上と同様の手順を使用して合成し、さらに赤色粉末が提供された。
例3 − M(NR)X1X2(ここで、M=Tiであり、NR=tert−ブチルイミドであり、X2=dktiである)の合成
Figure 2012533680
化合物7:(tert−ブチルイミド)ビス(β−ジケトイミナト)チタン{Ti(NtBu)[HC(C(Me)N(R))22}(ここでR=Meである)をTiCl4から2つの反応工程により得た。
工程1:例1で説明したのと同様
工程2:工程1の生成物Ti(NtBu)Cl2Py2(3g、8.7mmol)のTHF(40mL)溶液に、Li[HC(C(Me)N(Me))22(1.32g、17.4mmol)のTHF(30mL)溶液を−78℃で添加した。混合物は直ちに暗くなった。攪拌を10時間続けた。揮発分を減圧下で除去し、残留物をEt2O(30mL)に抽出し、ろ過して、粘着性固体を得た。それを減圧下で昇華させて2.5gの緑みを含んだ青色の固体が提供された。収率:80%。NMR(CDCl3):1H(400MHz)、4.33(2H、s、ジケトイミン中のH−CのH)、3.40(12H、CCH3のH)、1.64(12H、s、NCH3)、および1.54(9H、s、NtBu)
ビス−ジケトイミナート構造を有する第2の化合物、化合物8(ここでR=Etである)を、上で説明したものと同様の手順を使用して合成し、明るい黄色粉末が製造された。
例4 − 化合物1−4[シクロペンタジエニル(tert−ブチルイミド)(ジアルキルアミジナト)チタン{TiCp(NtBu)[MeC(NR)2]}]の熱的特性評価
TiCp(NtBu)[MeC(NR)2]の熱安定性および揮発性を評価するために、熱重量分析(TGA)を不活性雰囲気下のグローブボックス中で10℃/分の加熱速度で行った。図1−4は、それぞれ、開放されたアルミニウム製の蒸発皿における化合物1−4についてのTGAの結果を示している。
化合物1および2は残留物を残さずに非常に円滑に蒸発するが、化合物3および4は数パーセントの残留量を示すことが観察された。4種類の化合物の蒸発の終了は250℃では概略的に同じであり、これは、化合物1および2の揮発性は化合物3および4のそれよりも高いようであることを証明しているようである。また、残留生成物がないことは、これらの条件下で、化合物1および2が少なくとも250℃までは安定であることを証明している。全ての化合物についての分解温度および揮発性をより正確に評価するために他の評価を行った(例7を参照のこと)。
例5 − 化合物5および6[tert−ブチルイミド−シクロペンタジエニル−β−ジケトイミナト]チタン{TiCp(NtBu)[HC(C(Me)N(R))2]}]の熱的特性評価
図5および6は、例4と同様の方法によって測定した、化合物5および6のTGA結果をそれぞれ示している。
化合物5および6は残留物を残すことなく非常に円滑に蒸発することが観察された。2種類の化合物の蒸発の終わりは290℃では概略的に同じであり、これは、それらの揮発性が概略的に同じであること証明しているようである。また、分解が観察されないので、これらの条件下ではこれら2種類の化合物が290℃までは安定であるということを帰結することができる。この温度は、同じ条件下において260℃から既に分解が観察される現在の標準的な分子(たとえば、TDMAT、TDEATおよびTEMAT)の場合に観察される温度よりも遥かに高い。この高い熱安定性を他の評価によって確認した(例7を参照のこと)。
例6 − 化合物7および8[(tert−ブチルイミド−ビス−β−ジケトイミナト)チタン{Ti(NtBu)[HC(C(Me)N(R))22}]の熱的特性評価
図7および8は、例4と同様の方法によって測定した、化合物7および8のTGA結果をそれぞれ示している。
化合物8は残留物を残すことなく非常に円滑に蒸発する一方、化合物7の場合には数パーセントの残留物が検出されることが観察された。2種類の化合物の蒸発の終わりは300℃では概略的に同じであり、これは、それらの揮発性が概略的に同じであることを証明しているようである。また、分解が観察されないので、これらの条件下では化合物8は300℃までは安定であることを帰結することができる。これらの結果からは、この温度までの化合物7の安定性は確認されなかった。300℃は、同じ条件下において260℃から既に分解が観察される現在の標準的な分子(たとえば、TDMAT、TDEATおよびTEMAT)の場合に観察される温度よりも遥かに高い。この高い熱安定性を他の評価によって確認した(例7を参照のこと)。
例7 − 化合物1−8の熱的特性評価
前駆体の蒸発を制限し、それにより質量減少を遅らせる閉じた蒸発皿でのTGA構成を使用して、より抑制的な試験を同様の手段で行った。結果として、より高温範囲での分子の挙動を試験することができた。表1に、閉じた蒸発皿でのTGAにより測定した熱分解温度(TD)と蒸気圧(VP)とを含む化合物1−8の特性を纏めている。
Figure 2012533680
TGAによって測定した熱安定性を確かめるために、金被覆した高圧蒸発皿を使用して、10℃/分の加熱速度での示差走査熱量測定(DSC)をさらに行った。
図9は、化合物1と、チタン含有膜堆積の用途のために開発された一般的な分子の1つであるテトラキス(エチルメチル)アミノチタン(TEMAT)とについてのDSC結果を示している。この結果から、TGAから観察されたものと同じ分解温度を確認することができた。化合物1の分解温度はTEMATのそれよりも約30℃高いことが観察された。分解温度がより高いことは、より高い品質の膜をもたらすより高い温度でのALDモードで膜を得るのに有益であろう。
図10は、テトラキス(ジエチルアミノ)チタン(TDEAT)およびTEMATなどの2種類の標準的なチタン分子と比べた、開示する分子のうちのいくつかに関するより高い熱分解温度を示しており、それはさらにTEMATよりも80℃まで高い結果を示している。先に述べたように、より高い分解温度は、より高い品質の膜をもたらすより高い温度でのALDモードで膜を得るのに有益であろう。
例8:テトラキス(ジエチルアミノ)チタン(TDEAT)を使用する予想のTiN堆積
テトラキス(ジエチルアミノ)チタン(TDEAT)を、ALDモードでTiN膜を体積させるのに使用する。100gのTDEATをキャニスタ内に保管し、80℃で加熱し、その蒸気をバブリング法によって反応炉に供給する。配送機構は、チタン分子およびアンモニアの交互導入を可能にするものである。200℃程度の低さの温度であっても、一定の成長速度の明確なウィンドウが得られることは予想されず、このことは、この分子がALDモードでは使用できないことを証明するであろう。
例9:TiCp(NtBu)[MeC(N(SiMe3))2]を使用する予想のTiN堆積
例1で合成された分子、TiCp(NtBu)[MeC(N(SiMe3))2]を、ALD堆積のために使用する。40gのこのチタン分子をキャニスタ内に保管し、100℃で加熱し、その蒸気をバブリング法により反応炉に供給する。配送機構は、チタン分子およびアンモニアの交互導入を可能にするものである。窒化チタン膜が、1Å/サイクルの堆積速度で得られることが予想され、410℃までは一定の堆積速度を示すことが予想される。この温度を超えると、堆積速度が大幅に上昇し、これは410℃までのALDレジームの飽和モード特性を示す。
膜の組成を様々な技術(Auger、SIMS)で分析すると、これらの膜が、数パーセントの炭素不純物を有する概略に化学量論的なTiNであることが予想される。ALDの温度ウィンドウの上限は、TDEATを用いるものよりも遥かに高いことが予想され、これにより、この分子のより高い熱安定性が確認されるであろう(既に例7で述べている)。
本発明の実施形態を示して説明してきたが、当業者であれば、本発明の精神および教示から外れることなしにその変更を行うことができる。ここで説明した実施形態は、例示的なものに過ぎず、限定的なものではない。組成および方法の多くの変形および変更が可能であり、それは本発明の範囲内である。したがって、保護範囲は、ここで説明した実施形態に限定されず、以下の特許請求の範囲によってのみ限定されるものであり、特許請求の範囲は、請求項に記載した発明特定事項の全ての等価物を含むであろう。
本発明の実施形態を示して説明してきたが、当業者であれば、本発明の精神および教示から外れることなしにその変更を行うことができる。ここで説明した実施形態は、例示的なものに過ぎず、限定的なものではない。組成および方法の多くの変形および変更が可能であり、それは本発明の範囲内である。したがって、保護範囲は、ここで説明した実施形態に限定されず、以下の特許請求の範囲によってのみ限定されるものであり、特許請求の範囲は、請求項に記載した発明特定事項の全ての等価物を含むであろう。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[1]IV族金属含有膜を1枚以上の基板に堆積させる方法であって:
a)リアクタおよび前記リアクタ内に配置された少なくとも1枚の基板を用意することと;
b)一般式:
M(NR)X1 m X2 n (I)
(ここで:
− Mはチタン、ハフニウム、またはジルコニウムであり;
− RはH;C 1 −C 4 の線状、分枝、もしくは環式のアルキル基もしくはパーフルオロアルキル基;またはC 1 −C 4 の線状、分枝、もしくは環式のアルキルシリル基もしくはパーフルオロアルキルシリル基であり;
− X1はシクロペンタジエニル、ペンタジエニル、シクロヘキサジエニル、ヘキサジエニル、シクロヘプタジエニル、ヘプタジエニル、シクロオクタジエニル、およびオクタジエニルからなる群より選択され(C 1 −C 4 の線状、分枝、もしくは環式のアルキル基;C 1 −C 4 の線状、分枝、もしくは環式のアルキルシリル基;C 1 −C 4 のアルキルアミノ基;C 1 −C 4 の線状、分枝、もしくは環式のフルオロアルキル基;またはこれらの組み合わせによって置換されていてもよい);
− X2は水素;酸素;線状、分枝、もしくは環式のC 1 −C 8 のアルキル;線状、分枝、もしくは環式のC 1 −C 8 のアルコキシ;線状、分枝、もしくは環式のC 1 −C 8 のアルキルアミノ基;線状、分枝、もしくは環式のC 1 −C 8 のパーフルオロアルキル;C 1 −C 4 の線状、分枝、もしくは環式のアルキルシリル;線状、分枝、もしくは環式のC 1 −C 8 のパーフルオロアルコキシ;C 1 −C 8 のアルケン;ホルムアミジナート;アミジナート;グアミジナート;カルボニル;ニトリル;イソシアナート;エチレン;ハロゲン;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択され(C 1 −C 4 の線状、分枝、もしくは環式のアルキル基;C 1 −C 4 のアルキルアミノ基;C 1 −C 4 の線状、分枝、もしくは環式のフルオロアルキル基;またはこれらの組み合わせによって置換されていてもよい);
− m=0または1であり;
− n=1または2であり;
− m+n=2である)
を有する金属含有前駆体を用意することと;
c)前記金属含有前駆体を気化させて、気化前駆体を形成することと;
d)前記気化前駆体を前記リアクタに導入することと;
e)前記気化前駆体の少なくとも一部を前記基板上に堆積させて、IV族金属含有膜を形成することと
を含む方法。
[2]X1=Cpであり、m=1であり、n=1であり、X2は線状、分枝、もしくは環式のC 1 −C 8 のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より選択される[1]に記載の方法。
[3]前記金属含有前駆体は:
TiCp(NtBu)(NMe 2 )、TiCp(NtBu)(NEt 2 )、TiCp(NtBu)(N(EtMe) 2 )、TiCp(NtBu)(N(SiMe 3 2 )、TiCp(NtBu)[MeC(NSiMe 3 2 ]、TiCp(NtBu)[MeC(NiPr) 2 ]、TiCp(NtBu)[MeC(NtBu) 2 ]、TiCp(NtBu)[MeC(NtBuEt) 2 ]、TiCp(NtBu)[HC(C(Me)N(Me)) 2 ]、TiCp(NtBu)[HC(C(Me)N(Et)) 2 ]、
HfCp(NtBu)(NMe 2 )、HfCp(NtBu)(NEt 2 )、HfCp(NtBu)(N(EtMe) 2 )、HfCp(NtBu)(N(SiMe 3 2 )、HfCp(NtBu)[MeC(NSiMe 3 2 ]、HfCp(NtBu)[MeC(NiPr) 2 ]、HfCp(NtBu)[MeC(NtBu) 2 ]、HfCp(NtBu)[MeC(NtBuEt) 2 ]、HfCp(NtBu)[HC(C(Me)N(Me)) 2 ]、HfCp(NtBu)[HC(C(Me)N(Et)) 2 ]、
ZrCp(NtBu)(NMe 2 )、ZrCp(NtBu)(NEt 2 )、ZrCp(NtBu)(N(EtMe) 2 )、ZrCp(NtBu)(N(SiMe 3 2 )、ZrCp(NtBu)[MeC(NSiMe 3 2 ]、ZrCp(NtBu)[MeC(NiPr) 2 ]、ZrCp(NtBu)[MeC(NtBu) 2 ]、ZrCp(NtBu)[MeC(NtBuEt) 2 ]、ZrCp(NtBu)[HC(C(Me)N(Me)) 2 ]、およびZrCp(NtBu)[HC(C(Me)N(Et)) 2
からなる群より選択される[2]に記載の方法。
[4]m=0であり、n=2であり、X2は線状、分枝、もしくは環式のC 1 −C 8 のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択される[1]に記載の方法。
[5]前記金属含有前駆体は:
Ti(NtBu)[HC(C(Me)N(Me)) 2 2 、Ti(NtBu)[HC(C(Me)N(Et)) 2 2
Hf(NtBu)[HC(C(Me)N(Me)) 2 2 、Hf(NtBu)[HC(C(Me)N(Et)) 2 2
Zr(NtBu)[HC(C(Me)N(Me)) 2 2 、およびZr(NtBu)[HC(C(Me)N(Et)) 2 2
からなる群より選択される[4]に記載の方法。
[6]前記堆積工程(e)は化学気相堆積(CVD)プロセスまたは原子層堆積(ALD)プロセスを含む[1]〜[5]のいずれか1つに記載の方法。
[7]前記IV族金属含有膜はIV族金属膜、IV族金属酸化物膜、およびIV族金属窒化物膜からなる群より選択される[1]〜[6]のいずれか1つに記載の方法。
[8]異種金属元素の前駆体を前記リアクタに導入して、前記IV族金属含有膜の組成を調整することをさらに含む[1]〜[7]のいずれか1つに記載の方法。
[9]前記リアクタは約50〜約600℃、好ましくは約200℃〜約500℃、より好ましくは約300℃〜約400℃の温度を有する[1]〜[8]のいずれか1つに記載の方法。
[10]前記リアクタは約0.0001Torr〜約1000Torr、好ましくは約0.1Torr〜約10Torrの圧力を有する[1]〜[9]のいずれか1つに記載の方法。
[11]a)反応剤を前記リアクタに導入することと;
b)前記反応剤を前記気化前駆体と反応させることと
をさらに含む[1]〜[10]のいずれか1つに記載の方法。
[12]前記反応剤は還元剤を含む[11]に記載の方法。
[13]前記反応剤はN 2 、H 2 、NH 3 、SiH 4 、Si 2 6 、Si 3 8 、(CH 3 2 SiH 2 、(C 2 5 2 SiH 2 、(CH 3 )SiH 3 、(C 2 5 )SiH 3 、フェニルシラン、N 2 4 、N(SiH 3 3 、N(CH 3 )H 2 、N(C 2 5 )H 2 、N(CH 3 2 H、N(C 2 5 2 H、N(CH 3 3 、N(C 2 5 3 、(SiMe 3 2 NH、(CH 3 )HNNH 2 、(CH 3 2 NNH 2 、フェニルヒドラジン、N含有分子、B 2 6 、9-ボラビシクロ[3,3,1]ノナン、ジヒドロベンゼンフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、これらのラジカル種、およびこれらの混合物からなる群より選択される[12]に記載の方法。
[14]前記反応剤は酸化剤を含む[11]に記載の方法。
[15]前記反応剤はO 2 、O 3 、H 2 O、H 2 2 、NO、NO 2 、カルボン酸、蟻酸、酢酸、プロピオン酸、これらのラジカル種、およびこれらの混合物からなる群より選択される[14]に記載の方法。
[16][1]〜[11]のいずれか1つに記載の方法の生成物を含むIV族金属含有膜でコーティングした基板。

Claims (16)

  1. IV族金属含有膜を1枚以上の基板に堆積させる方法であって:
    a)リアクタおよび前記リアクタ内に配置された少なくとも1枚の基板を用意することと;
    b)一般式:
    M(NR)X1mX2n (I)
    (ここで:
    − Mはチタン、ハフニウム、またはジルコニウムであり;
    − RはH;C1−C4の線状、分枝、もしくは環式のアルキル基もしくはパーフルオロアルキル基;またはC1−C4の線状、分枝、もしくは環式のアルキルシリル基もしくはパーフルオロアルキルシリル基であり;
    − X1はシクロペンタジエニル、ペンタジエニル、シクロヘキサジエニル、ヘキサジエニル、シクロヘプタジエニル、ヘプタジエニル、シクロオクタジエニル、およびオクタジエニルからなる群より選択され(C1−C4の線状、分枝、もしくは環式のアルキル基;C1−C4の線状、分枝、もしくは環式のアルキルシリル基;C1−C4のアルキルアミノ基;C1−C4の線状、分枝、もしくは環式のフルオロアルキル基;またはこれらの組み合わせによって置換されていてもよい);
    − X2は水素;酸素;線状、分枝、もしくは環式のC1−C8のアルキル;線状、分枝、もしくは環式のC1−C8のアルコキシ;線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;線状、分枝、もしくは環式のC1−C8のパーフルオロアルキル;C1−C4の線状、分枝、もしくは環式のアルキルシリル;線状、分枝、もしくは環式のC1−C8のパーフルオロアルコキシ;C1−C8のアルケン;ホルムアミジナート;アミジナート;グアミジナート;カルボニル;ニトリル;イソシアナート;エチレン;ハロゲン;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択され(C1−C4の線状、分枝、もしくは環式のアルキル基;C1−C4のアルキルアミノ基;C1−C4の線状、分枝、もしくは環式のフルオロアルキル基;またはこれらの組み合わせによって置換されていてもよい);
    − m=0または1であり;
    − n=1または2であり;
    − m+n=2である)
    を有する金属含有前駆体を用意することと;
    c)前記金属含有前駆体を気化させて、気化前駆体を形成することと;
    d)前記気化前駆体を前記リアクタに導入することと;
    e)前記気化前駆体の少なくとも一部を前記基板上に堆積させて、IV族金属含有膜を形成することと
    を含む方法。
  2. X1=Cpであり、m=1であり、n=1であり、X2は線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より選択される請求項1に記載の方法。
  3. 前記金属含有前駆体は:
    TiCp(NtBu)(NMe2)、TiCp(NtBu)(NEt2)、TiCp(NtBu)(N(EtMe)2)、TiCp(NtBu)(N(SiMe32)、TiCp(NtBu)[MeC(NSiMe32]、TiCp(NtBu)[MeC(NiPr)2]、TiCp(NtBu)[MeC(NtBu)2]、TiCp(NtBu)[MeC(NtBuEt)2]、TiCp(NtBu)[HC(C(Me)N(Me))2]、TiCp(NtBu)[HC(C(Me)N(Et))2]、
    HfCp(NtBu)(NMe2)、HfCp(NtBu)(NEt2)、HfCp(NtBu)(N(EtMe)2)、HfCp(NtBu)(N(SiMe32)、HfCp(NtBu)[MeC(NSiMe32]、HfCp(NtBu)[MeC(NiPr)2]、HfCp(NtBu)[MeC(NtBu)2]、HfCp(NtBu)[MeC(NtBuEt)2]、HfCp(NtBu)[HC(C(Me)N(Me))2]、HfCp(NtBu)[HC(C(Me)N(Et))2]、
    ZrCp(NtBu)(NMe2)、ZrCp(NtBu)(NEt2)、ZrCp(NtBu)(N(EtMe)2)、ZrCp(NtBu)(N(SiMe32)、ZrCp(NtBu)[MeC(NSiMe32]、ZrCp(NtBu)[MeC(NiPr)2]、ZrCp(NtBu)[MeC(NtBu)2]、ZrCp(NtBu)[MeC(NtBuEt)2]、ZrCp(NtBu)[HC(C(Me)N(Me))2]、およびZrCp(NtBu)[HC(C(Me)N(Et))2
    からなる群より選択される請求項2に記載の方法。
  4. m=0であり、n=2であり、X2は線状、分枝、もしくは環式のC1−C8のアルキルアミノ基;ホルムアミジナート;アミジナート;アルキルジエン;シクロアルキルジエン;ノルボルナジエン;ジアザブタジエン;エチレンジアミン;エタノールアミン;β−ジケトナート;β−ジケトイミナート;およびβ−エナミノケトナートからなる群より独立して選択される請求項1に記載の方法。
  5. 前記金属含有前駆体は:
    Ti(NtBu)[HC(C(Me)N(Me))22、Ti(NtBu)[HC(C(Me)N(Et))22
    Hf(NtBu)[HC(C(Me)N(Me))22、Hf(NtBu)[HC(C(Me)N(Et))22
    Zr(NtBu)[HC(C(Me)N(Me))22、およびZr(NtBu)[HC(C(Me)N(Et))22
    からなる群より選択される請求項4に記載の方法。
  6. 前記堆積工程(e)は化学気相堆積(CVD)プロセスまたは原子層堆積(ALD)プロセスを含む請求項1〜5のいずれか1項に記載の方法。
  7. 前記IV族金属含有膜はIV族金属膜、IV族金属酸化物膜、およびIV族金属窒化物膜からなる群より選択される請求項1〜6のいずれか1項に記載の方法。
  8. 異種金属元素の前駆体を前記リアクタに導入して、前記IV族金属含有膜の組成を調整することをさらに含む請求項1〜7のいずれか1項に記載の方法。
  9. 前記リアクタは約50〜約600℃、好ましくは約200℃〜約500℃、より好ましくは約300℃〜約400℃の温度を有する請求項1〜8のいずれか1項に記載の方法。
  10. 前記リアクタは約0.0001Torr〜約1000Torr、好ましくは約0.1Torr〜約10Torrの圧力を有する請求項1〜9のいずれか1項に記載の方法。
  11. a)反応剤を前記リアクタに導入することと;
    b)前記反応剤を前記気化前駆体と反応させることと
    をさらに含む請求項1〜10のいずれか1項に記載の方法。
  12. 前記反応剤は還元剤を含む請求項11に記載の方法。
  13. 前記反応剤はN2、H2、NH3、SiH4、Si26、Si38、(CH32SiH2、(C252SiH2、(CH3)SiH3、(C25)SiH3、フェニルシラン、N24、N(SiH33、N(CH3)H2、N(C25)H2、N(CH32H、N(C252H、N(CH33、N(C253、(SiMe32NH、(CH3)HNNH2、(CH32NNH2、フェニルヒドラジン、N含有分子、B26、9-ボラビシクロ[3,3,1]ノナン、ジヒドロベンゼンフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、これらのラジカル種、およびこれらの混合物からなる群より選択される請求項12に記載の方法。
  14. 前記反応剤は酸化剤を含む請求項11に記載の方法。
  15. 前記反応剤はO2、O3、H2O、H22、NO、NO2、カルボン酸、蟻酸、酢酸、プロピオン酸、これらのラジカル種、およびこれらの混合物からなる群より選択される請求項14に記載の方法。
  16. 請求項1〜11のいずれか1項に記載の方法の生成物を含むIV族金属含有膜でコーティングした基板。
JP2012520144A 2009-07-14 2010-07-14 高温でのiv族金属含有膜の堆積 Withdrawn JP2012533680A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22528009P 2009-07-14 2009-07-14
US61/225,280 2009-07-14
PCT/IB2010/053219 WO2011007323A1 (en) 2009-07-14 2010-07-14 Deposition of group iv metal-containing films at high temperature

Publications (1)

Publication Number Publication Date
JP2012533680A true JP2012533680A (ja) 2012-12-27

Family

ID=42985209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012520144A Withdrawn JP2012533680A (ja) 2009-07-14 2010-07-14 高温でのiv族金属含有膜の堆積

Country Status (4)

Country Link
US (1) US8546276B2 (ja)
JP (1) JP2012533680A (ja)
KR (1) KR20120042971A (ja)
WO (1) WO2011007323A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150105747A (ko) * 2014-03-10 2015-09-18 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
CN106062242A (zh) * 2014-02-26 2016-10-26 株式会社Eugene科技材料 用于形成含锆膜的前驱体组合物以及用其形成含锆膜的方法
KR20220112707A (ko) * 2021-02-04 2022-08-11 주식회사 유피케미칼 하프늄 전구체 화합물, 이를 포함하는 하프늄 함유 막 형성용 조성물 및 하프늄-함유 막 형성 방법

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2464652A4 (en) * 2009-08-14 2013-01-09 Air Liquide HAFNIUM AND ZIRCONIUM-CONTAINING PRECEDENTS AND METHOD OF USE THEREOF
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101629869B1 (ko) 2011-09-16 2016-06-13 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함의 변경
KR101405256B1 (ko) * 2011-09-16 2014-06-10 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함 변경
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101306007B1 (ko) * 2012-02-10 2013-09-12 한국화학연구원 Sb-Te계 열전박막의 제조방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2902420B1 (en) * 2014-01-29 2019-06-12 Arlanxeo Netherlands B.V. Metal complex with a cyclic amidine ligand
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR101959519B1 (ko) 2016-04-12 2019-03-18 (주)디엔에프 전이금속 화합물, 이의 제조방법 및 이를 포함하는 전이금속함유 박막증착용 조성물
WO2017179857A1 (ko) * 2016-04-12 2017-10-19 (주)디엔에프 전이금속 화합물, 이의 제조방법 및 이를 포함하는 전이금속함유 박막증착용 조성물
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102252998B1 (ko) * 2018-01-12 2021-05-14 삼성에스디아이 주식회사 유기 금속 화합물, 이를 이용한 박막의 제조 방법, 및 박막을 포함하는 반도체 소자
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
DE102018124576A1 (de) * 2018-10-05 2020-04-09 Osram Opto Semiconductors Gmbh Verfahren zur herstellung eines halbleiterbauelements mit durchführung einer plasmabehandlung und halbleiterbauelement
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
WO2021041442A1 (en) * 2019-08-26 2021-03-04 Entegris, Inc. Group vi metal deposition process
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102523420B1 (ko) * 2020-06-16 2023-04-19 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
BRPI0512345A (pt) 2004-06-22 2008-03-04 Dsm Ip Assets Bv processo para a preparação de um polìmero
JP4980679B2 (ja) 2005-11-11 2012-07-18 東ソー株式会社 チタン錯体、それらの製造方法、チタン含有薄膜及びそれらの形成方法
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
WO2008013659A2 (en) * 2006-07-21 2008-01-31 The Boc Group, Inc. Single precursors for atomic layer deposition
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
JP5571547B2 (ja) 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
WO2008148499A1 (en) 2007-06-06 2008-12-11 Dsm Ip Assets B.V. Imine catalyst
WO2009012341A2 (en) 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106062242A (zh) * 2014-02-26 2016-10-26 株式会社Eugene科技材料 用于形成含锆膜的前驱体组合物以及用其形成含锆膜的方法
JP2017513213A (ja) * 2014-02-26 2017-05-25 ユージーン テクノロジー マテリアルズ カンパニー リミテッドEugene Technology Materials Co., Ltd. ジルコニウム含有膜形成用の前駆体組成物、及びそれを利用したジルコニウム含有膜の形成方法
CN106062242B (zh) * 2014-02-26 2019-01-08 株式会社Eugene科技材料 用于形成含锆膜的前驱体组合物以及用其形成含锆膜的方法
KR20150105747A (ko) * 2014-03-10 2015-09-18 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR102251989B1 (ko) * 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR20220112707A (ko) * 2021-02-04 2022-08-11 주식회사 유피케미칼 하프늄 전구체 화합물, 이를 포함하는 하프늄 함유 막 형성용 조성물 및 하프늄-함유 막 형성 방법
KR102623692B1 (ko) 2021-02-04 2024-01-11 주식회사 유피케미칼 하프늄 전구체 화합물, 이를 포함하는 하프늄 함유 막 형성용 조성물 및 하프늄-함유 막 형성 방법

Also Published As

Publication number Publication date
US8546276B2 (en) 2013-10-01
WO2011007323A1 (en) 2011-01-20
US20120175751A1 (en) 2012-07-12
KR20120042971A (ko) 2012-05-03

Similar Documents

Publication Publication Date Title
US8546276B2 (en) Deposition of group IV metal-containing films at high temperature
KR101659725B1 (ko) 휘발성 디하이드로피라지닐 및 디하이드로피라진 금속 착화합물
US9187511B2 (en) Titanium-aluminum alloy deposition with titanium-tetrahydroaluminate bimetallic molecules
US9416443B2 (en) Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
EP2307589B1 (en) Method for deposition of transition metal-containing films using heteroleptic cyclopentadienyl transition metal precursors
US20120207927A1 (en) Hafnium- and zirconium-containing precursors and methods of using the same
JP2014534952A (ja) タングステンジアザブタジエン分子、その合成及びタングステン含有膜の堆積へのその使用
US9121093B2 (en) Bis-ketoiminate copper precursors for deposition of copper-containing films and methods thereof
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
KR20120059440A (ko) 금속 함유 막을 증착시키기 위한 금속 에놀레이트 전구체
US10309010B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US9034761B2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
US8686138B2 (en) Heteroleptic pyrrolecarbaldimine precursors
US20170018425A1 (en) Heteroleptic diazadienyl group 4 transition metal-containing compounds for vapor deposition of group 4 transition metal-containing films
US20130337192A1 (en) Bis-pyrroles-2-aldiminate manganese precursors for deposition of manganese containing films
US20100119406A1 (en) Allyl-containing precursors for the deposition of metal-containing films
US20100256405A1 (en) Synthesis of allyl-containing precursors for the deposition of metal-containing films
TW201708594A (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20131001