KR20120042971A - 고온에서의 ⅳ족 금속 함유 막의 퇴적 - Google Patents

고온에서의 ⅳ족 금속 함유 막의 퇴적 Download PDF

Info

Publication number
KR20120042971A
KR20120042971A KR1020127003734A KR20127003734A KR20120042971A KR 20120042971 A KR20120042971 A KR 20120042971A KR 1020127003734 A KR1020127003734 A KR 1020127003734A KR 20127003734 A KR20127003734 A KR 20127003734A KR 20120042971 A KR20120042971 A KR 20120042971A
Authority
KR
South Korea
Prior art keywords
ntbu
group
branched
linear
mec
Prior art date
Application number
KR1020127003734A
Other languages
English (en)
Inventor
줄리앙 가티뉴
창희 고
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20120042971A publication Critical patent/KR20120042971A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

IV족 금속 함유 전구체 및 높은 처리 온도에서 IV족 금속 함유 막 (질화물, 산화물 및 금속)의 퇴적에 있어서의 이들의 용도가 개시되어 있다. 금속 중심에 연결된 시클로펜타디에닐 및 이미도 리간드의 사용은 열 안정성을 보장하고, 큰 퇴적 온도 범위 및 낮은 불순물 오염을 가능하게 한다. IV족 금속 (티타늄, 지르코늄, 하프늄) 함유 막 퇴적은 열 및/또는 플라즈마 증강 CVD, ALD 및 펄스 CVD에 의해 수행될 수 있다.

Description

고온에서의 Ⅳ족 금속 함유 막의 퇴적{DEPOSITION OF GROUP IV METAL-CONTAINING FILMS AT HIGH TEMPERATURE}
<관련 출원에 대한 상호 참조>
본 출원은 그의 전체 내용이 본원에 참조로 포함되는 2009년 7월 14일에 출원된 가출원 제61/225,280호에 대해 35 U.S.C. § 119(e) 하에서 우선권을 주장한다.
화학 증착 (CVD) 및 원자층 퇴적 (ALD)은 미세하게 형성된 두께 및 높은 표면/단 피복률(coverage)의 막 (금속, 산화물, 질화물 등)을 달성가능하게 하기 때문에 반도체 소자의 규모 축소에 순응하기 위한 박막의 퇴적을 위한 주요 퇴적 기술로서 채용되어 왔다. 막 성장은 유기금속 화합물(전구체)의 화학 반응으로부터 생성되며, 따라서 최적 전구체를 개발하고 반응 공정을 이해하는 것이 필수적이다.
전구체는 특정 유형의 원하는 막에 대한 적용에 기초하여 요구되는 특성을 달성하도록 개발되어 왔다. 그러나, 우수한 품질의 막을 달성하기 위해서 여러가지 기본 특성이 고려되어야 한다. 첫번째로, 함유 용기로부터 반응 챔버로 용이하게 전달하기 위해 충분한 증기압이 필요하다. 두번째로, 전달 전 용기에서 저장 온도로 저장하는 동안 우수한 열 안정성이 요구된다. 세번째로, 퇴적 챔버에서 원하는 막으로 용이하게 전환되기 위해 반응 기체에 대한 강한 반응성이 요구된다. 또 다른 중요한 요건은 흔히 퇴적 공정 동안 리간드로부터 기인하는 막 중 불순물을 제어하는 것이고 전구체 디자인의 단계시 고려되어야 한다.
일부 IV족 금속 전구체는 이미 개발되었고, 산화물 또는 질화물 금속 막을 퇴적하는 데 사용되어 왔다. 주요 티타늄 전구체는 테트라클로로티타늄 (TiCl4)이다. 이는 티타늄 함유 막을 제조하는 데 널리 사용된다. ALD 방식에서 산화티타늄을 위해 테트라키스(디메틸아미노) 티타늄 (TDMAT) 및 테트라키스(디에틸아미노) 티타늄 (TDEAT)과 같은 분자와 H2O의 사용은 이미 보고되었다 (예를 들어 EP0503001 참조). 또한, 일부 질화물 막도 공반응물로서 암모니아를 사용하여 퇴적되었다.
지르코늄의 경우, 테트라키스(디메틸아미노) 지르코늄 (TDMAZ)이 현재 지르코늄 함유 막, 특히 산화지르코늄을 퇴적하는 데 사용되는 표준 물질이다. 분자의 낮은 분해 온도는 많은 공정에서 문제점으로 남아 있다.
상기 언급된 전구체 이외에, 새로운 분자가 또한 개발되었다.
특허 출원 공보 (예를 들어, US 2008/0102205 및 KR 2007/0121281 참조)는 CVD/ALD 전구체로서 시클로펜타디에닐 함유 화합물, 예를 들어 하기 나타낸 것들의 사용을 언급하고 있다.
[화학식 1]
Figure pct00001
비스시클로펜타디에닐 트리이소프로필 구아니디네이토 티타늄(III)
[화학식 2]
Figure pct00002
식 중, A=NR2R3 또는 ER4, E=O 또는 S, R1=H, Me 또는 Et, R2 및 R3= 선택적으로 F기 또는 SiR5 3을 갖는 C1-C4 알킬, R4= 선택적으로 F기 또는 SiR5 3을 갖는 C1-C6 알킬, R5=C1-C4 알킬.
에틸렌디아미노 리간드, 아미노알킬아미노 리간드 및 구아미디네이토 리간드와 함께 2개 또는 3개의 알킬아미노 리간드를 갖는 분자가 IV족 금속 질화물, 금속 산화물 및 금속 전극 용도의 CVD/ALD 전구체로서 언급될 수 있다 (예를 들어, 미국 특허 출원 공개 제2009/0036697호 및 WO 2009/012341 참조).
[화학식 3]
Figure pct00003
식에서, R1, R4=C1-C6 알킬, R2, R3=H 또는 C1-C3 알킬, 및 R5, R6=C1-C4 알킬.
[화학식 5]
Figure pct00004
식에서, R1-R10= H, C1-C12 알킬, C1-C12 알킬아미노, C1-C12 알콕시, C3-C10 시클로알킬, C2-C12 알케닐, C7-C12 아르알킬, C7-C12 알킬아릴, C6-C12 아릴, C5-C12 헤테로아릴, C1-C10 퍼플루오로알킬, 및 Si-함유 기.
또한, 중합용 촉매로서 하기 나타낸, IV족 또는 V족 금속을 갖는 이미도 유형의 화합물의 용도를 언급하는 일부 특허 출원 공보도 이용가능하다 (예를 들어, WO 2005/123790 및 WO 2008/148499 참조).
[화학식 6]
Figure pct00005
식에서, R-R9=C1-C20 알킬 또는 아릴기, La=배위 리간드, 및 Xi= 음이온성 리간드.
[화학식 7]
Figure pct00006
식에서, N=Y는 음이온성 이민 리간드, X=히드리드 또는 히드로카르빌.
합성 면에서, 옥스퍼드 대학의 필립 마운트포드(Philip Mountford) 그룹에서 시클로펜타디에닐 이미도 티타늄의 화학에 관한 많은 연구 논문을 발행하였다: 문헌 [Dunn et al., J. Chem. Soc., Dalton Trans., (1997), 293-304]; 문헌 [Stewart et al., J. Organometallic Chemistry 564 (1998) 209-214]; 문헌 [Stewart et al., Organometallics 17 (1998) 3271-3281]; 문헌 [Guiducci et al., Organometallics 25, (2006), 1167-1187]. 기재된 분자 중 일부를 하기에 나타내었다.
[화학식 8]
Figure pct00007
[화학식 9]
Figure pct00008
[화학식 10]
Figure pct00009
[화학식 11]
Figure pct00010
개발된 분자 중에서, 일부는 액체이지만, 이들의 열 안정성은 나타나 있지 않다. 본 출원인은 이러한 분자가 반도체 용도로는 고려된 바 없다고 믿는다.
유니버시티 칼리지 런던(University College London)의 칼말트(C.J. Carmalt) 그룹이 일부 하기에 나타낸, 이미도 유형의 금속 전구체를 사용하는 CVD/ALD에 관한 일부 연구 논문을 발행하였다: 문헌 [C.J. Carmalt et al., "Synthesis of TiN thin films from titanium imido complexes", Journal of Materials Chemistry 13, 2003, 84-87]; 문헌 [C.J. Carmalt et al., "Titanium imido complexes as precursors to titanium nitride", J. Chem. Soc., Dalton Trans., 2002, 4055-4059]; 문헌 [Potts et al., "Tungsten Imido Complexes as Precursors to Tungsten Carbonitride thin films", Dalton Transactions 2008, 5730-5736].
[화학식 11]
Figure pct00011
[화학식 12]
Figure pct00012
[화학식 13]
Figure pct00013
TiCl4의 광범위한 사용은 퇴적 라인에 염소화 부산물의 도입을 야기한다. 이러한 염소화 부산물은 이들의 부식성 및 독성으로 인해 바람직하지 않다. 현재 사용 중인 다른 분자, 예를 들어 TDMAT 및 TDEAT는 특히 ALD 모델에서의 고온 (최대 400℃) 퇴적에 이들을 사용하는 것을 불가능하게 하는 불량한 열 안정성을 나타낸다.
고온에서의 제어된 두께 및 조성을 갖는 필름의 퇴적을 가능하게 하는 할로겐 무함유 분자의 개발에 대한 요구가 남아 있다.
반응기에서 하나 이상의 기판 상에 IV족 금속 함유 막을 퇴적시키는 방법이 개시된다. 하기 화학식으로 표시되는 금속 함유 전구체가 제공된다:
[화학식 I]
M(NR)X1mX2n
식 중,
- M은 티타늄, 하프늄 또는 지르코늄이고;
- R은 H; C1-C4 선형, 분지형 또는 환형 알킬 또는 퍼플루오로알킬기; 또는 C1-C4 선형, 분지형 또는 환형 알킬실릴 또는 퍼플루오로알킬실릴 기로부터 선택되고;
- X1은 시클로펜타디에닐, 펜타디에닐, 시클로헥사디에닐, 헥사디에닐, 시클로헵타디에닐, 헵타디에닐, 시클로옥타디에닐 및 옥타디에닐로 이루어진 군으로부터 선택되고, C1-C4 선형, 분지형 또는 환형 알킬기; C1-C4 선형, 분지형 또는 환형 알킬실릴 기; C1-C4 알킬아미노기; C1-C4 선형, 분지형 또는 환형 플루오로알킬기; 또는 이들의 조합으로 치환될 수 있고;
- X2는 독립적으로 수소; 산소; 선형, 분지형 또는 환형 C1-C8 알킬; 선형, 분지형 또는 환형 C1-C8 알콕시; 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 선형, 분지형 또는 환형 C1-C8 퍼플루오로알킬; C1-C4 선형, 분지형 또는 환형 알킬실릴; 선형, 분지형 또는 환형 C1-C8 퍼플루오로알콕시; C1-C8 알켄; 포름아미디네이트; 아미디네이트; 구아미디네이트; 카르보닐; 니트릴; 이소시아네이트; 에틸렌; 할로겐; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택되고, C1-C4 선형, 분지형 또는 환형 알킬기; C1-C4 알킬아미노기; C1-C4 선형, 분지형 또는 환형 플루오로알킬기; 또는 이들의 조합으로 치환될 수 있고;
- m은 0 또는 1이고;
- n은 1 또는 2이고;
- m + n = 2이다.
금속 함유 전구체는 기화되어 기화된 전구체를 형성한다. 기화된 전구체는 반응기에 도입된다. 기화된 전구체의 적어도 일부는 기판 상에 퇴적되어 IV족 금속 함유 막을 형성한다. 개시된 방법은 하기 양태 중 하나 이상을 포함할 수 있다:
● X1=Cp;
● X2는 독립적으로 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 포름아미디네이트; 아미디네이트; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택됨;
● X2는 포름아미디네이트, 아미디네이트 또는 β-디케티미네이트임;
● m=1 및 n=1;
● 금속 함유 전구체는 TiCp(NtBu)(NMe2), TiCp(NtBu)(NEt2), TiCp(NtBu)(N(EtMe)2), TiCp(NtBu)(N(SiMe3)2), TiCp(NtBu)[MeC(NSiMe3)2], TiCp(NtBu)[MeC(NiPr)2], TiCp(NtBu)[MeC(NtBu)2], TiCp(NtBu)[MeC(NtBuEt)2], TiCp(NtBu)[HC(C(Me)N(Me))2], TiCp(NtBu)[HC(C(Me)N(Et))2], HfCp(NtBu)(NMe2), HfCp(NtBu)(NEt2), HfCp(NtBu)(N(EtMe)2), HfCp(NtBu)(N(SiMe3)2), HfCp(NtBu)[MeC(NSiMe3)2], HfCp(NtBu)[MeC(NiPr)2], HfCp(NtBu)[MeC(NtBu)2], HfCp(NtBu)[MeC(NtBuEt)2], HfCp(NtBu)[HC(C(Me)N(Me))2], HfCp(NtBu)[HC(C(Me)N(Et))2], ZrCp(NtBu)(NMe2), ZrCp(NtBu)(NEt2), ZrCp(NtBu)(N(EtMe)2), ZrCp(NtBu)(N(SiMe3)2), ZrCp(NtBu)[MeC(NSiMe3)2], ZrCp(NtBu)[MeC(NiPr)2], ZrCp(NtBu)[MeC(NtBu)2], ZrCp(NtBu)[MeC(NtBuEt)2], ZrCp(NtBu)[HC(C(Me)N(Me))2], 및 ZrCp(NtBu)[HC(C(Me)N(Et))2]로 이루어진 군으로부터 선택됨;
● m=0 및 n=2;
● 금속 함유 전구체는 Ti(NtBu)[HC(C(Me)N(Me))2]2, Ti(NtBu)[HC(C(Me)N(Et))2]2, Hf(NtBu)[HC(C(Me)N(Me))2]2, Hf(NtBu)[HC(C(Me)N(Et))2]2, Zr(NtBu)[HC(C(Me)N(Me))2]2, 및 Zr(NtBu)[HC(C(Me)N(Et))2]2로 이루어진 군으로부터 선택됨;
● 퇴적 단계는 화학 증착(CVD) 또는 원자층 퇴적 (ALD) 공정을 포함함;
● IV족 금속 함유 막은 IV족 금속 막, IV족 금속 산화물 막 및 IV족 금속 질화물 막으로 이루어진 군으로부터 선택됨;
● 다른 금속성 원소의 전구체를 반응기에 도입하여 IV족 금속 함유 막의 조성을 조정(tune)함;
● 반응기의 온도는 약 50℃ 내지 약 600℃, 바람직하게는 약 200℃ 내지 약 500℃, 보다 바람직하게는 약 300℃ 내지 약 400℃임;
● 반응기의 압력은 약 0.0001 Torr 내지 약 1000 Torr, 바람직하게는 약 0.1 Torr 내지 약 10 Torr임;
● 반응물을 반응기에 도입하고 반응물을 기화된 전구체와 반응시킴;
● 반응물은 환원제를 포함함;
● 반응물은 N2, H2, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, N 함유 분자, B2H6, 9-보라비시클로[3,3,1]노난, 디히드로벤젠푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 이들의 라디칼 종, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
● 반응물은 산화제를 포함함; 및
● 반응물은 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 포름산, 아세트산, 프로피온산, 이들의 라디칼 종, 및 이들의 혼합물로 이루어진 군으로부터 선택됨.
또한, 개시된 방법의 생성물을 포함하는 IV족 금속 함유 막 코팅 기판이 개시된다.
표기법 및 명명법
하기 상세한 설명 및 특허청구범위 전반에 걸쳐 특정 시스템 구성요소를 나타내기 위해 특정 용어를 사용한다.
원소 주기율표로부터 원소의 표준 약자가 본원에서 사용된다. 원소가 이들 약자로 표시될 수 있음 (예를 들어, Ti는 티타늄을 나타내고, Hf는 하프늄을 나타내고, Zr은 지르코늄을 나타냄)을 이해해야 한다.
본원에서 사용된 "독립적으로"라는 용어는 R기를 기술하는 문맥에서 사용될 때 해당 R기가 동일하거나 상이한 아랫첨자 또는 윗첨자를 갖는 다른 R기에 대해 독립적으로 선택될 뿐만 아니라, 동일한 R기의 임의의 추가 종에 대해서도 독립적으로 선택된다는 것을 나타내는 것으로 이해해야 한다. 예를 들어, 화학식 MR1 x (NR2R3)(4-x) (식 중, x는 2 또는 3임)에서, 2개 또는 3개의 R1기는 서로에 대해 또는 R2 또는 R3에 대해 동일할 수 있지만, 동일할 필요는 없다. 또한, 달리 구체적으로 기술되지 않는 한, R기의 값은 다른 화학식에서 사용되는 경우 서로 독립적인 것을 이해해야 한다.
본원에서 사용된 "알킬기"라는 용어는 배타적으로 탄소 및 수소 원자를 함유하는 포화 관능기를 나타낸다. 또한, "알킬기"라는 용어는 선형, 분지형 또는 환형 알킬기를 나타낸다. 선형 알킬기의 예는 제한 없이 메틸기, 에틸기, 프로필기, 부틸기 등을 포함한다. 분지된 알킬기의 예는 제한 없이 t-부틸을 포함한다. 시클릭 알킬기의 예는 제한 없이 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함한다.
본원에서 사용된 약자 "Me"는 메틸기를 나타내고, 약자 "Et"는 에틸기를 나타내고; 약자 "Pr"은 프로필기를 나타내고; 약자 "iPr"은 이소프로필기를 나타내고; 약자 "Bu"는 부틸 (n-부틸)을 나타내고; 약자 "tBu"는 tert-부틸을 나타내고; 약자 "sBu"는 sec-부틸을 나타내고; 약자 "acac"는 아세틸아세토네이토/아세틸아세토네이트를 나타내고; 약자 "tmhd"는 2,2,6,6-테트라메틸-3,5-헵타디오네이토를 나타내고; 약자 "od"는 2,4-옥타디오네이토를 나타내고; 약자 "mhd"는 2-메틸-3,5-헥사디노네이토를 나타내고; 약자 "tmod"는 2,2,6,6-테트라메틸-3,5-옥탄디오네이트를 나타내고; 약자 "ibpm"은 2,2,6-트리메틸-3-5-헵타디오네이트를 나타내고; 약자 "hfac"는 헥사플루오로아세틸아세토네이토를 나타내고; 약자 "tfac"는 트리플루오로아세틸아세토네이토를 나타내고; 약자 "Cp"는 시클로펜타디에닐을 나타내고; 약자 "Cp*"는 펜타메틸시클로펜타디에닐을 나타내고; 약자 "cod"는 시클로옥타디엔을 나타내고; 약자 "dkti" 및 구조식 R1C(C(R2)N(R3))2는 디케티민/디케티미네이트 (R1은 하기 구조에서 dkti 리간드의 정점에서 C에 연결된 R 리간드이고, 각 R2는 독립적으로 dkti 사슬에서 C에 연결된 R 리간드이고, 각 R3은 독립적으로 N에 연결된 R 리간드이고; 예를 들어 HC(C(Me)N(Me))2임)를 나타내고; 약자 "emk"는 엔아미노케톤/엔아미노케토네이트 (질소 원자에 결합된 R 리간드가 무엇이든지 간에)를 나타내고; 약자 "amd" 및 구조식 R1C(N(R2))2는 아미디네이트 (R1은 하기 구조에서 C에 연결된 R 리간드이고, 각 R2는 독립적으로 각 N에 연결된 R 리간드이고; 예를 들어 MeC(N(SiMe3)2)임)를 나타내고; 약자 "formd"는 포름아미디네이트를 나타내고; 약자 "dab"는 디아자부타디엔 (질소 원자 상의 R 리간드가 무엇이든지 간에)를 나타낸다.
상기 논의된 리간드 중 일부의 포괄적인 구조를 보다 잘 이해하기 위해, 구조식들을 하기에 나타내었고, 여기서 각 R은 독립적으로 H; C1-C6 선형, 분지형 또는 환형 알킬 또는 아릴기; 아미노 치환체, 예를 들어 금속 M에 결합되는 것으로 하기 나타낸 NR1R2 또는 NR1R2R3 (식 중, R1, R2 및 R3은 독립적으로 H 및 C1-C6 선형, 분지형 또는 환형 알킬 또는 아릴기로부터 선택됨); 및 알콕시 치환체, 예를 들어 금속 M에 결합되는 것으로 하기 나타낸 OR4 또는 OR4R5 (식 중, R4 및 R5는 독립적으로 H 및 C1-C6 선형, 분지형 또는 환형 알킬기 또는 아릴기로부터 선택됨)으로부터 선택된다.
Figure pct00014
본 발명의 특징 및 목적을 더 이해하기 위해, 하기 상세한 설명을 하기 첨부된 도면과 결합하여 참조해야 한다:
도 1은 질량 손실% 대 TiCp(NtBu)[MeC(N(SiMe3))2]의 온도를 나타내는 열중량 분석(TGA) 그래프이고;
도 2는 질량 손실% 대 TiCp(NtBu)[MeC(NiPr)2]의 온도를 나타내는 TGA 그래프이고;
도 3은 질량 손실% 대 TiCp(NtBu)[MeC(NtBu)2]의 온도를 나타내는 TGA 그래프이고;
도 4는 질량 손실% 대 TiCp(NtBu)[MeC(NtBu/Et)2]의 온도를 나타내는 TGA 그래프이고;
도 5는 질량 손실% 대 TiCp(NtBu)[HC(C(Me)N(Me))2]의 온도를 나타내는 TGA 그래프이고;
도 6은 질량 손실% 대 TiCp(NtBu)[HC(C(Me)N(Et))2]의 온도를 나타내는 TGA 그래프이고;
도 7은 질량 손실% 대 Ti(NtBu)[HC(C(Me)N(Me))2]2의 온도를 나타내는 TGA 그래프이고;
도 8은 질량 손실% 대 Ti(NtBu)[HC(C(Me)N(Et))2]2의 온도를 나타내는 TGA 그래프이고;
도 9는 TiCp(NtBu)[MeC(N(SiMe3))2] 및 테트라키스(에틸메틸)아미노 티타늄 (TEMAT)에 대한 시차 주사 열량 측정 결과의 그래프이고;
도 10은 테트라키스(디에틸아미노) 티타늄 (TDEAT) 및 TEMAT과 비교한 개시된 분자 중 일부의 열 분해 온도의 그래프이다.
본원에는 반도체, 태양전지, LCD-TFT 또는 평판형 장치의 제조에 사용될 수 있는 방법, 장치 및 화합물의 비제한적인 실시양태가 개시되어 있다.
높은 처리 온도에서 IV족 금속 함유 막 (질화물, 산화물 및 금속)의 퇴적에 사용될 수 있는 IV족 금속 함유 전구체가 개시되어 있다. 개시된 전구체는 하기 화학식으로 표시된다:
[화학식 I]
M(NR)X1mX2n
식 중:
- M은 IV족 금속, 예를 들어 티타늄, 하프늄 또는 지르코늄이고;
- R은 H; C1-C4 선형, 분지형 또는 환형 알킬 또는 퍼플루오로알킬기 (치환체의 전부가 F이거나 또는 F가 아니며, 즉 전체적으로 불소화 또는 비불소화됨); 또는 C1-C4 선형, 분지형 또는 환형 알킬실릴 (모노, 비스 또는 트리스알킬) 또는 퍼플루오로알킬실릴 기 (치환체의 전부가 F이거나 또는 F가 아니며, 즉 전체적으로 불소화 또는 비불소화됨)로부터 선택되고;
- X1은 시클로펜타디에닐, 펜타디에닐, 시클로헥사디에닐, 헥사디에닐, 시클로헵타디에닐, 헵타디에닐, 시클로옥타디에닐 및 옥타디에닐로 이루어진 군으로부터 선택되고, C1-C4 선형, 분지형 또는 환형 알킬기; C1-C4 선형, 분지형 또는 환형 알킬실릴기 (모노, 비스 또는 트리스알킬); C1-C4 알킬아미노기; C1-C4 선형, 분지형 또는 환형 플루오로알킬기 (치환체의 전부가 F이거나 또는 F가 아니며, 즉 전체적으로 불소화 또는 비불소화됨); 또는 이들의 조합으로 치환될 수 있고;
- X2는 독립적으로 수소; 산소; 선형, 분지형 또는 환형 C1-C8 알킬; 선형, 분지형 또는 환형 C1-C8 알콕시; 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 선형, 분지형 또는 환형 C1-C8 퍼플루오로알킬 (치환체의 전부가 F이거나 또는 F가 아니며, 즉 전체적으로 불소화 또는 비불소화됨); C1-C4 선형, 분지형 또는 환형 알킬실릴 (모노, 비스 또는 트리스알킬); 선형, 분지형 또는 환형 C1-C8 퍼플루오로알콕시 (치환체의 전부가 F이거나 또는 F가 아니며, 즉 전체적으로 불소화 또는 비불소화됨); C1-C8 알켄; 포름아미디네이트; 아미디네이트; 구아미디네이트; 카르보닐; 니트릴; 이소시아네이트; 에틸렌; 할로겐, 예를 들어 F, Cl, Br 또는 I; 알킬디엔, 예를 들어 부타디엔, 펜타디엔, 헥사디엔, 헵타디엔, 옥타디엔 등; 시클로알킬디엔, 예를 들어 시클로부타디엔, 시클로펜타디엔, 시클로헥사디엔, 시클로헵타디엔, 시클로옥타디엔 등; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로부터 선택되고, C1-C4 선형, 분지형 또는 환형 알킬기; C1-C4 알킬아미노기; C1-C4 선형, 분지형 또는 환형 플루오로알킬기 (치환체의 전부가 F이거나 또는 F가 아니며, 즉 전체적으로 불소화 또는 비불소화됨); 또는 이들의 조합으로 치환될 수 있고;
- m은 0 또는 1이고;
- n은 1 또는 2이고;
- m + n = 2이다.
개시된 IV족 금속 함유 전구체는 열 안정성이고 할로겐을 포함하지 않을 수 있다. 금속 중심에 연결된 시클로펜타디에닐 및 이미도 리간드의 사용은 열 안정성을 보장하여, 큰 퇴적 온도 범위 및 낮은 불순물 오염을 허용한다. 개시된 전구체는 문헌상에 기재된 바와 같이 제조될 수 있다. 예를 들어, 상기 배경기술에서 인용한 옥스퍼드 대학의 필립 마운트포드 그룹에 의해 발행된 논문을 참조한다. 또한, 개시된 전구체의 제조 방법의 예는 하기 실시예에 포함된다.
바람직하게는, m=1 및 n=1인 경우, X1=Cp이고 X2는 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 포름아미디네이트; 아미디네이트; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택된다. 보다 더 바람직하게는, X2는 포름아미디네이트, 아미디네이트 또는 β-디케티미네이트이다. 상기 화학식의 예시적인 화합물은 하기를 포함하되, 이에 제한되지는 않는다:
TiCp(NtBu)(NMe2), TiCp(NtBu)(NEt2), TiCp(NtBu)(N(EtMe)2), TiCp(NtBu)(N(SiMe3)2), TiCp(NtBu)[MeC(NSiMe3)2], TiCp(NtBu)[MeC(NiPr)2], TiCp(NtBu)[MeC(NtBu)2], TiCp(NtBu)[MeC(NtBuEt)2], TiCp(NtBu)[HC(C(Me)N(Me))2], TiCp(NtBu)[HC(C(Me)N(Et))2], HfCp(NtBu)(NMe2), HfCp(NtBu)(NEt2), HfCp(NtBu)(N(EtMe)2), HfCp(NtBu)(N(SiMe3)2), HfCp(NtBu)[MeC(NSiMe3)2], HfCp(NtBu)[MeC(NiPr)2], HfCp(NtBu)[MeC(NtBu)2], HfCp(NtBu)[MeC(NtBuEt)2], HfCp(NtBu)[HC(C(Me)N(Me))2], HfCp(NtBu)[HC(C(Me)N(Et))2], ZrCp(NtBu)(NMe2), ZrCp(NtBu)(NEt2), ZrCp(NtBu)(N(EtMe)2), ZrCp(NtBu)(N(SiMe3)2), ZrCp(NtBu)[MeC(NSiMe3)2], ZrCp(NtBu)[MeC(NiPr)2], ZrCp(NtBu)[MeC(NtBu)2], ZrCp(NtBu)[MeC(NtBuEt)2], ZrCp(NtBu)[HC(C(Me)N(Me))2], 및 ZrCp(NtBu)[HC(C(Me)N(Et))2].
바람직하게는, m=0 및 n=2일 때, X2는 독립적으로 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 포름아미디네이트; 아미디네이트; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택된다. 보다 더 바람직하게는, X2는 포름아미디네이트, 아미디네이트 또는 β-디케티미네이트이다. 이러한 화학식의 예시적인 화합물은 Ti(NtBu)[HC(C(Me)N(Me))2]2,  Ti(NtBu)[HC(C(Me)N(Et))2]2, Hf(NtBu)[HC(C(Me)N(Me))2]2,  Hf(NtBu)[HC(C(Me)N(Et))2]2, Zr(NtBu)[HC(C(Me)N(Me))2]2, 및 Zr(NtBu)[HC(C(Me)N(Et))2]2를 포함하되, 이에 제한되지는 않는다.
개시된 전구체는 당업자에게 공지된 임의의 퇴적 방법을 사용하여 박막을 퇴적하는 데 사용될 수 있다. 적합한 퇴적 방법의 예는 제한 없이 통상적인 화학 증착법 (CVD), 저압 화학 증착법 (LPCVD), 플라즈마 증강 화학 증착법 (PECVD), 원자층 퇴적법 (ALD), 펄스화 화학 증착법 (PCVD), 플라즈마 증착 원자층 퇴적법 (PEALD) 또는 이들의 조합을 포함한다.
개시된 전구체는 순수한 형태 또는 적합한 용매, 예를 들어 에틸 벤젠, 크실렌, 메시틸렌, 데칸, 도데칸과의 블렌드로 공급될 수 있다. 개시된 전구체는 용매에서 다양한 농도로 존재할 수 있다.
순수한 또는 블렌딩된 전구체는 통상적인 수단, 예를 들어 관 및/또는 유량계에 의해 증기 형태로 반응기에 도입된다. 증기 형태의 전구체는 순수한 또는 블렌딩된 전구체 용액을 통상적인 기화 단계, 예를 들어 직접 기화, 증류를 통해 증발시킴으로써 또는 버블링함으로써 생성될 수 있다. 순수한 또는 블렌딩된 전구체는 반응기에 도입되기 전에 증발기에 액체 상태로 공급되어 기화될 수 있다. 별법으로, 순수한 또는 블렌딩된 전구체는 전구체를 함유하는 용기에 캐리어 가스를 통과시킴으로써 또는 전구체에 캐리어 가스를 버블링시킴으로써 증발될 수 있다. 캐리어 가스는 Ar, He, N2 및 이들의 혼합물을 포함하되, 이에 제한되지는 않는다. 또한, 캐리어 가스를 사용한 버블링은 순수한 또는 블렌딩된 전구체 용액에 존재하는 임의의 용존 산소를 제거할 수 있다. 이어서, 캐리어 가스 및 전구체는 증기로서 반응기에 도입된다.
필요하다면, 개시된 전구체의 용기는 전구체가 액체 상태로 존재하고 충분한 증기압을 가질 수 있게 하는 온도로 가열될 수 있다. 용기는 예를 들어 약 0℃ 내지 약 150℃ 범위의 온도에서 유지될 수 있다. 당업자는 용기의 온도가 기화된 전구체의 양을 제어하기 위해 공지된 방식으로 조절될 수 있다는 점을 인지할 것이다.
반응기는 전구체를 반응시켜 층을 형성하는 데 적합한 조건 하에서 퇴적 반응이 수행되는 장치, 예를 들어 제한 없이 평행 판형 반응기, 냉벽형 반응기, 고온벽형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 다른 유형의 퇴적 시스템 내의 임의의 인클로저(enclosure) 또는 챔버일 수 있다.
일반적으로, 반응기는 박막이 퇴적될 하나 이상의 기판을 함유한다. 하나 이상의 기판은 반도체, 태양전지, 평판 패널 또는 LCD-TFT 장치 제조에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 제한 없이 규소 기판, 실리카 기판, 규소 질화물 기판, 규소 산질화물 기판, 텅스텐 기판 또는 이들의 조합을 포함한다. 또한, 텅스텐 또는 귀금속 (예를 들어 백금, 팔라듐, 로듐 또는 금)을 포함하는 기판이 사용될 수 있다. 또한, 기판은 그 위에 이전의 제조 단계로부터 이미 퇴적된 상이한 물질의 하나 이상의 층을 가질 수 있다.
반응기 내 온도 및 압력은 ALD 또는 CVD 퇴적에 적합한 조건에서 유지된다. 달리 말하자면, 챔버에 기화된 전구체를 도입한 후, 챔버 내 조건은 기화된 전구체의 적어도 일부가 기판 상에 퇴적되어 금속 함유 막을 형성하도록 한다. 예를 들어, 반응기의 압력은 퇴적 매개변수에 따라 요구되는 바와 같이 약 0.0001 torr 내지 약 1000 torr, 또는 바람직하게는 약 0.1 torr 내지 10 torr로 유지될 수 있다. 마찬가지로, 반응기의 온도는 약 50℃ 내지 약 600℃, 바람직하게는 약 200℃ 내지 약 500℃, 보다 바람직하게는 300℃ 내지 400℃로 유지될 수 있다.
개시된 전구체 이외에, 반응물도 또한 반응기에 도입될 수 있다. 반응물은 산화 가스, 예를 들어 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 포름산, 아세트산, 프로피온산 및 이들의 혼합물 중 하나일 수 있다. 별법으로, 반응물은 환원 가스, 예를 들어 H2, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, N 함유 분자, B2H6, 9-보라비시클로[3,3,1]노난, 디히드로벤젠푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 이들의 라디칼 종, 및 이들의 혼합물 중 하나일 수 있다.
반응물을 라디칼 형태로 분해시키기 위해 반응물은 플라즈마로 처리될 수 있다. 또한, N2는 플라즈마로 처리될 때 환원 가스로서 사용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 출력으로 생성될 수 있다. 플라즈마는 반응기 그 자체 내에서 생성되거나 존재할 수 있다. 별법으로, 플라즈마는 일반적으로 반응 챔버로부터 제거된 위치에, 예를 들어 원격 플라즈마 시스템으로 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
챔버 내 증착 조건은 개시된 전구체 및 반응물을 반응시켜 IV족 금속 함유 막을 기판 상에 형성시킨다. 일부 실시양태에서, 본 출원인은 반응물을 플라즈마로 처리하는 것이 개시된 전구체와 반응하는 데 필요한 에너지를 반응물에 제공할 수 있다고 생각한다.
원하는 퇴적되는 막의 유형이 무엇인지에 따라 제2 전구체가 반응기에 도입될 수 있다. 제2 전구체는 또 다른 금속 공급원, 예를 들어 구리, 프라세오디뮴, 망간, 루테늄, 티타늄, 탄탈, 비스무트, 지르코늄, 하프늄, 납, 니오븀, 마그네슘, 알루미늄, 란탄 또는 이들의 혼합물을 포함한다. 제2 금속 함유 전구체가 사용되는 경우, 기판 상에 퇴적된 생성된 막은 적어도 2개의 상이한 유형의 금속을 함유할 수 있다.
개시된 전구체 및 임의의 선택적인 반응물 또는 전구체는 반응 챔버에 (ALD에서와 같이) 순차적으로 또는 (CVD에서와 같이) 동시에 도입될 수 있다. 반응 챔버는 전구체의 도입과 반응물의 도입 사이에 불활성 가스로 퍼징될 수 있다. 별법으로, 반응물 및 전구체는 서로 혼합되어 반응물/전구체 혼합물을 형성한 후, 혼합물 형태로 반응기에 도입될 수 있다.
기화된 전구체 및 반응물은 반응기에 순차적으로 또는 동시에 펄스화 첨가될 수 있다 (예를 들어 펄스화 CVD). 전구체의 각 펄스는 약 0.01초 내지 약 10초, 별법으로 약 0.3초 내지 약 3초, 별법으로 약 0.5초 내지 약 2초 범위의 시간 동안 지속될 수 있다. 또 다른 실시양태에서, 반응물은 또한 반응기에 펄스화 첨가될 수 있다. 이러한 실시양태에서, 각 가스의 펄스는 약 0.01초 내지 약 10초, 별법으로 약 0.3초 내지 약 3초, 별법으로 약 0.5초 내지 약 2초 범위의 시간 동안 지속될 수 있다.
특정 공정 매개변수에 따라, 퇴적은 다양한 시간 동안 수행될 수 있다. 일반적으로 필요한 특성을 갖는 막을 생성시키는 데 바람직하거나 또는 필요한 한 퇴적을 지속시킬 수 있다. 전형적인 막 두께는 특정 퇴적 공정에 따라 수 옹스트롬 내지 수백 마이크로미터로 다양할 수 있다. 또한, 퇴적 공정은 원하는 막을 얻는 데 필요한 횟수만큼 수행될 수 있다.
하나의 비제한적인 대표적 CVD 유형의 공정에서, 개시된 전구체 및 반응물의 증기상은 반응기에 동시에 도입된다. 이들 둘은 반응하여 결과의 박막을 형성한다. 이러한 대표적인 CVD 공정에서 반응물이 플라즈마로 처리되는 경우, 대표적인 CVD 공정은 대표적인 PECVD 공정이 된다. 공반응물은 챔버에 도입하기 전에 또는 그 후에 플라즈마로 처리될 수 있다.
하나의 비제한적인 대표적 ALD 유형의 공정에서, 개시된 전구체의 증기상이 반응기에 도입되어 적합한 기판과 접촉된다. 이어서, 반응기를 퍼징 및/또는 탈기시켜 과잉의 전구체를 반응기에서 제거한다. 환원 가스 (예를 들어, H2)가 반응기에 도입되어 자기 제어 방식으로 흡수된 전구체와 반응한다. 반응기를 퍼징 및/또는 탈기시켜 임의의 과잉의 환원 가스를 반응기에서 제거한다. 원하는 막이 금속 막인 경우, 이러한 2 단계 공정은 원하는 막 두께를 제공할 수 있거나, 또는 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
별법으로, 원하는 막이 바이메탈 막인 경우, 상기한 2 단계 공정 후에 제2 금속 함유 전구체의 증기를 반응기에 도입할 수 있다. 제2 금속 함유 전구체는 퇴적되는 바이메탈 막의 성질에 따라 선택될 것이다. 반응기에 도입된 후, 제2 금속 함유 전구체는 기판과 접촉된다. 반응기를 퍼징 및/또는 탈기시켜 임의의 과잉의 제2 금속 함유 전구체를 반응기로부터 제거한다. 다시 한번, 환원 가스를 반응기에 도입시켜 제2 금속 함유 전구체와 반응시킬 수 있다. 반응기를 퍼징 및/또는 탈기시켜 과잉의 환원 가스를 반응기로부터 제거한다. 원하는 막 두께가 달성된 경우, 공정을 종결시킬 수 있다. 그러나, 보다 두꺼운 막을 원하는 경우, 전체 4단계 공정을 반복할 수 있다. 금속 함유 전구체, 제2 금속 함유 전구체 및 반응물의 제공을 교대로 함으로써, 원하는 조성 및 두께의 막을 퇴적시킬 수 있다.
이러한 대표적인 ALD 공정의 반응물을 플라즈마로 처리하는 경우, 대표적인 ALD 공정은 대표적인 PEALD 공정이 된다. 공반응물은 챔버에 도입 전에 또는 그 후에 플라즈마로 처리될 수 있다.
상기 논의된 공정으로부터 생성된 IV족 금속 함유 막 또는 금속 함유 층은 순수 금속 (M), 금속 실리케이트 (MkSil), 금속 산화물 (MnOm) 또는 금속 산질화물 (MxNyOz) 막을 포함할 수 있으며, 여기서, M은 Ti, Zr 또는 Hf이고 k, l, m, n, x, y 및 z는 1 내지 6 범위의 정수이다. 당업자는 적합한 개시된 전구체, 선택적인 제2 금속 함유 전구체 및 공반응물 종의 신중한 선택에 의해 원하는 막 조성이 수득될 수 있음을 인지할 것이다.
[ 실시예 ]
하기 비제한적인 예는 본 발명의 실시양태를 추가로 예시하기 위해 제공된다. 그러나, 실시예가 모두를 포함하도록 의도되지 않았으며, 본원에 기재된 본 발명의 범위를 제한하도록 의도되지 않았다.
실시예 1 - M( NR )X1X2의 합성 (식 중, M= Ti , NR = tert - 부틸이미도 , X1 = Cp X2 = amd )
Figure pct00015
화합물 1: 시클로펜타디에닐(tert-부틸이미도)디알킬아미디네이토 티타늄 {TiCp(NtBu)[MeC(NR)2]} (식 중, R = 트리메틸실릴 (SiMe3))이 TiCl4로부터 3단계 반응으로 수득되었다.
단계 1: tBuNH2 (60 mL, 6.3 eq)를 15분에 걸쳐 디클로로메탄 (150 mL) 중 TiCl4 (10 mL, 0.091 mol)의 용액에 적가하고 -50℃에서 30분 동안 교반하였다. 생성된 오렌지색 용액을 실온으로 승온시킨 후, 5시간 동안 교반을 지속하였다. 반응 혼합물을 여과하여 오렌지색 용액을 생성하고 여기에 피리딘 (17 mL, 2.3 eq)을 첨가하였다. 4시간 후, 혼합물로부터 휘발성 성분을 제거하고 잔류 고체를 10:1 톨루엔:디클로로메탄 혼합물 150 mL로 추출하였다. 생성된 짙은 오렌지색 용액을 다시 여과하고 감압 하에 증발시켰다. 추출을 2회 반복한 후, 펜탄 (50 mL)으로 세정하였다. 용액을 증발시키고, 진공에서 건조 후 오렌지색 고체로서 22.0 g의 Ti(NtBu)Cl2Py2를 제공하였다. 수율: 70%. NMR (CDCl3): 1H (400 MHz), 9.20 (4 H, d, NC5H5의 o-H), 7.78 (2 H, t, NC5H5의 p-H), 7.34 (4 H, t, NC5H5의 m-H) 및 0.85 (9 H, s, NtBu)
단계 2: THF (40 mL) 중 단계 1의 오렌지색 고체, Ti(NtBu)Cl2Py2 (3g, 8.7 mmol)의 용액에 THF (40mL) 중 LiCp (0.67 g, 8.7 mmol)의 용액을 실온에서 캐눌라(cannula)를 통해 첨가하였다. 용액은 어두워졌고, 5시간 동안 교반을 지속하였다. 이어서, 감압 하에 휘발물질을 제거하고, 잔류물을 Et2O 및 펜탄 (각 30 mL)으로 추출하였다. 용액을 여과하고 감압 하에 증발시켜 밝은 적색 고체로서 Ti(NtBu)CpClPy 2.0 g을 제공하였다. 수율: 80%. NMR (CDCl3): 1H (400 MHz), 8.73 (2 H, d, NC5H5의 o-H), 7.82 (1 H, NC5H5의 p-H), 7.34 (2 H, t, NC5H5의 m-H), 6.34 (5 H, s, C5H5), 및 1.07 (9 H, s, NtBu)
단계 3: THF (30 mL) 중 단계 2의 적색 고체, Ti(NtBu)CpClPy (1.5g, 5.0 mmol)의 용액에 THF (30 mL) 중 Li[MeC(N(SiMe3))2] (1.04 g, 5.0 mmol)의 용액을 -78℃에서 첨가하였다. 생성된 혼합물은 즉시 어두워졌다. 반응 혼합물을 실온으로 승온시키고 12시간 동안 교반을 지속하였다. 이어서, 감압 하에 휘발물질을 제거하고, 잔류물을 펜탄 (30 mL)으로 추출하고 여과하여 짙은 적색의 점착성 고체를 제공하였다. 이를 증류하여 자주색 액체로서 TiCp(NtBu)[MeC(N(SiMe3))2] 0.5 g을 제공하였다. 수율: 30%. NMR (C6D6): 1H (400 MHz), 6.63 (5 H, s, C5H5), 1.87 (3 H, s, CH3), 1.11 (9 H, s, NtBu), 및 0.14 (9 H, s, SiMe3).
상이한 유형의 아미디네이트, 예를 들어 화합물 2 (식 중, R = iPr), 화합물 3 (식 중, R = tBu), 화합물 4 (식 중, R = tBu/Et)를 상기 기재된 동일한 절차를 사용하여 합성하였다. 자주색 고체인 화합물 3을 제외하고 모든 분자는 자주색 액체로서 수득되었다.
실시예 2 - M( NR )X1X2의 합성 (식 중, M= Ti , NR = tert - 부틸이미도 , X1 = Cp X2 = dkti )
Figure pct00016
화합물 5: 단계 3을 하기로 대체한 것을 제외하고는 실시예 1과 동일한 절차를 사용하여 TiCl4로부터 3단계 반응으로 시클로펜타디에닐(tert-부틸이미도)(β-디케티미네이토) 티타늄 {TiCp(NtBu)[HC(C(Me)N(R))2]} (식 중, R = Me)을 수득하였다:
단계 3: THF (30 mL) 중 단계 2의 생성물, Ti(NtBu)CpClPy (1.5g, 5.0 mmol)의 용액에 THF (30 mL) 중 Li[HC(C(Me)N(Me))2] (0.66 g, 5.0 mmol)의 용액을 -78℃에서 첨가하였다. 혼합물은 즉시 어두워졌다. 반응 혼합물을 실온으로 승온시키고, 12시간 동안 교반을 지속하였다. 이어서, 감압 하에 휘발물질을 제거하고 펜탄 (30 mL)으로 추출하고 여과하여 짙은 적색의 점착성 고체를 제공하였다. 이를 감압 하에 승화시켜 적색 분말 0.5 g을 제공하였다. 수율: 30%. NMR (C6D6): 1H (400 MHz), 6.03 (5 H, s, C5H5), 4.82 (1 H, s, CH), 3.06 (6 H, s, CCH3), 1.57 (6 H, s, NCH3) 및 1.30 (9H, s, NtBu).
디케티미네이트 구조를 갖는 제2 화합물, 화합물 6 (식 중, R = Et)을 상기 기재된 동일한 절차를 사용하여 합성하여, 또한 적색 분말을 제공하였다.
실시예 3 - M( NR )X2 2 (식 중, M= Ti , NR = tert - 부틸이미도 , 및 X2 = dkti )의 합성
Figure pct00017
화합물 7: TiCl4로부터 2단계 반응으로 (tert-부틸이미도)비스(β-디케티미네이토) 티타늄 {Ti(NtBu)[HC(C(Me)N(R))2]2} (식 중, R = Me)을 수득하였다.
단계 1: 실시예 1에 기재된 바와 동일함
단계 2: THF (40 mL) 중 단계 1의 생성물, Ti(NtBu)Cl2Py2 (3g, 8.7 mmol)의 용액에 THF (30 mL) 중 Li[HC(C(Me)N(Me))2]2 (1.32 g, 17.4 mmol)의 용액을 -78℃에서 첨가하였다. 혼합물은 즉시 어두워졌다. 10시간 동안 교반을 지속하였다. 감압 하에 휘발물질을 제거하고 잔류물을 Et2O (30 ml)로 추출하고 여과하여 점착성 고체를 제공하였다. 이를 감압 하에 승화시켜 녹청색 고체 2.5 g을 제공하였다. 수율: 80%. NMR (CDCl3): 1H (400 MHz), 4.33 (2 H, s, 디케티민 중 H-C의 H), 3.40 (12 H, CCH3의 H), 1.64 (12 H, s, NCH3) 및 1.54 (9 H, s, NtBu)
비스-디케티미네이트 구조를 갖는 제2 화합물, 화합물 8 (식 중, R = Et)을 상기 기재된 동일한 절차를 사용하여 합성하여 밝은 황색 분말을 생성하였다.
실시예 4 - 화합물 1-4 [시클로펜타디에닐( tert - 부틸이미도 )( 디알킬아미디네 이토) 티타늄 {TiCp( NtBu )[MeC( NR ) 2 ]}]의 열 특성 평가
TiCp(NtBu)[MeC(NR)2]의 열 안정성 및 휘발성을 측정하기 위해, 열중량 분석 (TGA)를 글로브 박스(glove box)에서 불활성 분위기 하에 10℃/분의 가열 속도로 수행하였다. 도 1 내지 4는 각각 화합물 1 내지 4의 개방된 알루미늄 팬에서의 TGA 결과를 나타낸다.
화합물 1 및 2는 잔류물을 남기지 않으면서 매우 매끄럽게 기화되는 반면, 화합물 3 및 4는 적은 양 비율의 잔류양을 나타내는 것이 관찰되었다. 4개의 화합물의 증발 종료는 250℃로 대략 동일하고, 이는 화합물 1 및 2의 휘발성이 화합물 3 및 4의 휘발성보다 높다는 것을 입증하는 것처럼 보인다. 또한, 잔류 생성물의 부재는 이러한 조건 하에서 화합물 1 및 2가 적어도 250℃까지에서 안정함을 입증한다. 모든 화합물의 분해 온도 뿐만 아니라 휘발성을 보다 정확하게 측정하기 위해 다른 측정을 수행하였다 (실시예 7 참조).
실시예 5 - 화합물 5 및 6 [( tert - 부틸이미도 - 시클로펜타디에닐 -β- 디케티미 네이토) 티타늄 { TiCp ( NtBu )[ HC (C( Me )N(R)) 2 ]}]의 열 특성 평가
도 5 및 6은 각각 화합물 5 및 6의 TGA 결과를 나타내고, 실시예 4에서와 동일한 방법으로 측정하였다.
화합물 5 및 6은 잔류물을 남기지 않으면서도 매우 매끄럽게 기화되는 것이 관찰되었다. 두 화합물의 증발 종료는 290℃로 대략 동일하고, 이는 이들의 휘발성이 대략적으로 동일함을 입증하는 것처럼 보인다. 또한, 분해가 관찰되지 않았기 때문에 이러한 조건 하에서 두 화합물은 290℃까지 안정하다고 결론내릴 수 있었다. 이러한 온도는 동일한 조건에서 260℃부터 분해가 이미 관찰된 현행의 표준 분자 (예를 들어, TDMAT, TDEAT 및 TEMAT)에 대해 관찰된 온도보다 매우 높다. 높은 열 안정성은 다른 측정으로 확인하였다 (실시예 7 참조).
실시예 6 - 화합물 7 및 8 [( tert - 부틸이미도 - 비스 -β- 디케티미네이토 ) 티타늄 {Ti( NtBu )[ HC (C( Me )N(R)) 2 ] 2 }]의 열 특성 평가
도 7 및 8은 각각 화합물 7 및 8의 TGA 결과를 나타내고, 실시예 4에서와 동일한 방법으로 측정하였다.
화합물 8은 잔류물을 남기지 않으면서 매우 매끄럽게 기화하는 반면, 화합물 7의 경우 적은 비율의 잔류물이 검출된 것이 관찰되었다. 두 화합물의 증발 종료는 300℃로 대략 동일하고, 이는 이들의 휘발성이 대략적으로 동일함을 입증하는 것처럼 보인다. 또한, 분해가 관찰되지 않았기 때문에 이러한 조건 하에서 화합물 8은 적어도 300℃까지 안정하다고 결론내릴 수 있었다. 상기 온도까지의 화합물 7의 안정성은 이러한 결과의 관점에서 확신하지 못한다. 300℃는 동일한 조건에서 260℃부터 분해가 이미 관찰된 현행의 표준 분자 (예를 들어, TDMAT, TDEAT 및 TEMAT)에 대해 관찰된 온도보다 매우 높다. 높은 열 안정성은 다른 측정으로 확인하였다 (실시예 7 참조).
실시예 7 - 화합물 1-8의 열 특성 평가
전구체의 증발을 제한하고, 따라서 질량 손실을 지연시키는 밀폐된 팬 TGA 구성을 사용하여 동일한 장치로 보다 제한적인 시험을 수행하였다. 결과적으로, 보다 높은 온도 범위에서의 분자의 거동이 조사될 수 있다. 표 1에는 밀폐된 팬 TGA 및 증기압 (VP)에 의해 측정된 열 분해 온도 (TD)를 포함하는 화합물 1-8의 특성을 요약하였다.
Figure pct00018
TGA에 의해 측정된 열 안정성을 확인하기 위해, 시차 주사 열량 측정 (DSC)을 또한 10℃/분의 가열 속도 하에서 금이 코팅된 고압 팬을 사용하여 수행하였다.
도 9는 화합물 1 및 티타늄 함유 막 퇴적의 적용을 위해 개발된 통상적인 분자 중 하나인 테트라키스(에틸메틸)아미노 티타늄 (TEMAT)에 대한 DSC 결과를 나타낸다. 이러한 결과로부터, TGA에서 측정된 것과 동일한 분해 온도를 확인할 수 있었다. 화합물 1의 분해 온도는 TEMAT의 분해 온도보다 대략 30℃ 높다는 것이 관찰되었다. 보다 높은 분해 온도를 갖는 것은 보다 높은 온도에서 ALD 방식으로 막을 얻을 수 있어 보다 고 품질의 막을 유도하는 점에서 유리할 것이다.
도 10은 2개의 표준 티타늄 분자, 예를 들어 테트라키스(디에틸아미노) 티타늄 (TDEAT) 및 TEMAT와 비교하여 일부 개시된 분자의 보다 높은 열 분해 온도를 나타내고, 이는 추가로 TEMAT보다 80℃까지 높은 결과를 나타낸다. 상기 기술한 바와 같이, 보다 높은 분해 온도는 보다 높은 온도에서 ALD 방식으로 막을 얻어 보다 높은 품질의 막을 유도하는 점에서 유리할 것이다.
실시예 8: 테트라키스(디에틸아미노)티타늄 ( TDEAT )을 사용한 예측 TiN 퇴적
테트라키스(디에틸아미노)티타늄 (TDEAT)이 ALD 방식으로 TiN 막을 퇴적하는 데 사용될 것이다. TDEAT 100 g은 캐니스터에 저장되고, 80℃로 가열되고 그의 증기가 버블링 방법에 의해 반응로에 제공될 것이다. 전달 설정은 티타늄 분자 및 암모니아의 교대 도입을 가능하게 한다. 200℃만큼 낮은 온도에서조차도 일정한 성장 속도의 명확한 범위가 얻어질 것으로 예측되지 않으며, 이는 이 분자가 ALD 방식으로 사용될 수 없음을 증명할 것이다.
실시예 9: TiCp( NtBu )[MeC(N( SiMe 3 )) 2 ]를 사용한 TiN 퇴적의 예측 결과
실시예 1에서 합성된 분자인 TiCp(NtBu)[MeC(N(SiMe3))2]가 ALD 퇴적에 사용될 것이다. 티타늄 분자 40 g을 캐니스터에 저장하고, 100℃로 가열하고 그의 증기가 버블링 방법에 의해 반응로에 제공될 것이다. 전달 설정은 티타늄 분자 및 암모니아의 교대 도입을 가능하게 한다. 티타늄 질화물 막이 1Å/사이클의 분해 속도로 얻어질 것으로 예측되고, 410℃까지의 일정한 분해 속도를 나타낼 것으로 예측된다. 상기 온도 초과에서, 분해 속도는 극적으로 증가하고, 410℃까지의 ALD 방식의 포화 방식 특성을 나타낼 수 있다.
막의 조성은 상이한 기술 (오제(Auger), SIMS)에 의해 분석될 것이고, 막은 주로 화학량론량의 TiN이고 적은 비율의 탄소 불순물을 가질 것으로 예측된다. ALD의 상부 온도 범위는 TDEAT를 사용한 것보다 매우 높을 것으로 예측되고, 이는 분자의 보다 높은 열 안정성을 확인해줄 것이다 (이미 실시예 7에서 언급됨).
본 발명의 실시양태를 나타내고 기재하였지만, 당업자가 본 발명의 취지 및 교시를 벗어나지 않고도 이들의 변형을 수행할 수 있다. 본원에 기재된 실시양태는 단지 예시일 뿐 제한하지 않는다. 조성물 및 방법의 여러 변형 및 변경이 본 발명의 범위 내에서 가능하다. 따라서, 보호 범위는 본원에 기재된 실시양태에 제한되지 않으며, 오직 하기 특허청구범위에 의해서만 제한되며, 이 범위는 특허청구범위의 주제의 모든 등가물을 포함할 것이다.

Claims (16)

  1. a) 반응기, 및 반응기에 배치된 적어도 하나의 기판을 제공하는 단계;
    b) 하기 화학식 I로 표시되는 금속 함유 전구체를 제공하는 단계;
    c) 금속 함유 전구체를 기화시켜 기화된 전구체를 형성하는 단계;
    d) 기화된 전구체를 반응기에 도입하는 단계; 및
    e) 기화된 전구체의 적어도 일부를 기판 상에 퇴적시켜 IV족 금속 함유 막을 형성하는 단계
    를 포함하는, 하나 이상의 기판 상에 IV족 금속 함유 막을 퇴적시키는 방법.
    [화학식 I]
    M(NR)X1mX2n
    (식 중,
    - M은 티타늄, 하프늄 또는 지르코늄이고;
    - R은 H; C1-C4 선형, 분지형 또는 환형 알킬 또는 퍼플루오로알킬기; 또는 C1-C4 선형, 분지형 또는 환형 알킬실릴 또는 퍼플루오로알킬실릴기로부터 선택되고;
    - X1은 시클로펜타디에닐, 펜타디에닐, 시클로헥사디에닐, 헥사디에닐, 시클로헵타디에닐, 헵타디에닐, 시클로옥타디에닐 및 옥타디에닐로 이루어진 군으로부터 선택되고, C1-C4 선형, 분지형 또는 환형 알킬기; C1-C4 선형, 분지형 또는 환형 알킬실릴기; C1-C4 알킬아미노기; C1-C4 선형, 분지형 또는 환형 플루오로알킬기; 또는 이들의 조합으로 치환될 수 있고;
    - X2는 독립적으로 수소; 산소; 선형, 분지형 또는 환형 C1-C8 알킬; 선형, 분지형 또는 환형 C1-C8 알콕시; 선형, 분지형 또는 환형 C1-C8 알킬아미노; 선형, 분지형 또는 환형 C1-C8 퍼플루오로알킬; C1-C4 선형, 분지형 또는 환형 알킬실릴; 선형, 분지형 또는 환형 C1-C8 퍼플루오로알콕시; C1-C8 알켄; 포름아미디네이트; 아미디네이트; 구아미디네이트; 카르보닐; 니트릴; 이소시아네이트; 에틸렌; 할로겐; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택되고, C1-C4 선형, 분지형 또는 환형 알킬기; C1-C4 알킬아미노기; C1-C4 선형, 분지형 또는 환형 플루오로알킬기; 또는 이들의 조합으로 치환될 수 있고;
    - m은 0 또는 1이고;
    - n은 1 또는 2이고;
    - m + n = 2이다).
  2. 제1항에 있어서, X1=Cp, m=1, n=1이고, X2는 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 포름아미디네이트; 아미디네이트; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택되는 것인 방법.
  3. 제2항에 있어서, 금속 함유 전구체가 TiCp(NtBu)(NMe2), TiCp(NtBu)(NEt2), TiCp(NtBu)(N(EtMe)2), TiCp(NtBu)(N(SiMe3)2), TiCp(NtBu)[MeC(NSiMe3)2], TiCp(NtBu)[MeC(NiPr)2], TiCp(NtBu)[MeC(NtBu)2], TiCp(NtBu)[MeC(NtBuEt)2], TiCp(NtBu)[HC(C(Me)N(Me))2], TiCp(NtBu)[HC(C(Me)N(Et))2], HfCp(NtBu)(NMe2), HfCp(NtBu)(NEt2), HfCp(NtBu)(N(EtMe)2), HfCp(NtBu)(N(SiMe3)2), HfCp(NtBu)[MeC(NSiMe3)2], HfCp(NtBu)[MeC(NiPr)2], HfCp(NtBu)[MeC(NtBu)2], HfCp(NtBu)[MeC(NtBuEt)2], HfCp(NtBu)[HC(C(Me)N(Me))2], HfCp(NtBu)[HC(C(Me)N(Et))2], ZrCp(NtBu)(NMe2), ZrCp(NtBu)(NEt2), ZrCp(NtBu)(N(EtMe)2), ZrCp(NtBu)(N(SiMe3)2), ZrCp(NtBu)[MeC(NSiMe3)2], ZrCp(NtBu)[MeC(NiPr)2], ZrCp(NtBu)[MeC(NtBu)2], ZrCp(NtBu)[MeC(NtBuEt)2], ZrCp(NtBu)[HC(C(Me)N(Me))2] 및 ZrCp(NtBu)[HC(C(Me)N(Et))2]로 이루어진 군으로부터 선택되는 것인 방법.
  4. 제1항에 있어서, m=0, n=2이고, X2는 독립적으로 선형, 분지형 또는 환형 C1-C8 알킬아미노기; 포름아미디네이트; 아미디네이트; 알킬디엔; 시클로알킬디엔; 노르보르나디엔; 디아자부타디엔; 에틸렌디아민; 에탄올아민; β-디케토네이트; β-디케티미네이트; 및 β-엔아미노케토네이트로 이루어진 군으로부터 선택되는 것인 방법.
  5. 제4항에 있어서, 금속 함유 전구체가 Ti(NtBu)[HC(C(Me)N(Me))2]2,  Ti(NtBu)[HC(C(Me)N(Et))2]2, Hf(NtBu)[HC(C(Me)N(Me))2]2,  Hf(NtBu)[HC(C(Me)N(Et))2]2, Zr(NtBu)[HC(C(Me)N(Me))2]2, 및 Zr(NtBu)[HC(C(Me)N(Et))2]2로 이루어진 군으로부터 선택되는 것인 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 퇴적 단계 (e)가 화학 증착(CVD) 또는 원자층 퇴적 (ALD) 공정을 포함하는 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, IV족 금속 함유 막이 IV족 금속 막, IV족 금속 산화물 막 및 IV족 금속 질화물 막으로 이루어진 군으로부터 선택되는 것인 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 반응기에 다른 금속성 원소의 전구체를 도입하여 IV족 금속 함유 막의 조성을 조정(tune)하는 단계를 추가로 포함하는 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 반응기가 약 50℃ 내지 약 600℃, 바람직하게는 약 200℃ 내지 약 500℃, 보다 바람직하게는 약 300℃ 내지 약 400℃의 온도를 갖는 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 반응기가 약 0.0001 Torr 내지 약 1000 Torr, 바람직하게는 약 0.1 Torr 내지 약 10 Torr의 압력을 갖는 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    a) 반응물을 반응기에 도입하는 단계; 및
    b) 반응물을 기화된 전구체와 반응시키는 단계
    를 추가로 포함하는 방법.
  12. 제11항에 있어서, 반응물이 환원제를 포함하는 방법.
  13. 제12항에 있어서, 반응물이 N2, H2, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, N 함유 분자, B2H6, 9-보라비시클로[3,3,1]노난, 디히드로벤젠푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 이들의 라디칼 종 및 이들의 혼합물로 이루어진 군으로부터 선택된 것인 방법.
  14. 제11항에 있어서, 반응물이 산화제를 포함하는 방법.
  15. 제14항에 있어서, 반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 포름산, 아세트산, 프로피온산, 이들의 라디칼 종 및 이들의 혼합물로 이루어진 군으로부터 선택된 것인 방법.
  16. 제1항 내지 제11항 중 어느 한 항의 방법의 생성물을 포함하는 IV족 금속 함유 막으로 코팅된 기판.
KR1020127003734A 2009-07-14 2010-07-14 고온에서의 ⅳ족 금속 함유 막의 퇴적 KR20120042971A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22528009P 2009-07-14 2009-07-14
US61/225,280 2009-07-14

Publications (1)

Publication Number Publication Date
KR20120042971A true KR20120042971A (ko) 2012-05-03

Family

ID=42985209

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127003734A KR20120042971A (ko) 2009-07-14 2010-07-14 고온에서의 ⅳ족 금속 함유 막의 퇴적

Country Status (4)

Country Link
US (1) US8546276B2 (ko)
JP (1) JP2012533680A (ko)
KR (1) KR20120042971A (ko)
WO (1) WO2011007323A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017179857A1 (ko) * 2016-04-12 2017-10-19 (주)디엔에프 전이금속 화합물, 이의 제조방법 및 이를 포함하는 전이금속함유 박막증착용 조성물
KR20190086254A (ko) * 2018-01-12 2019-07-22 삼성에스디아이 주식회사 유기 금속 화합물, 이를 이용한 박막의 제조 방법, 및 박막을 포함하는 반도체 소자
US10913755B2 (en) 2016-04-12 2021-02-09 Dnf Co., Ltd. Transition metal compound, preparation method therefor, and composition for depositing transition metal-containing thin film, containing same
US20210351037A1 (en) * 2018-10-05 2021-11-11 Osram Opto Semiconductors Gmbh Method for producing a semiconductor component comprising performing a plasma treatment, and semiconductor component
KR20210155744A (ko) * 2020-06-16 2021-12-23 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9045509B2 (en) * 2009-08-14 2015-06-02 American Air Liquide, Inc. Hafnium- and zirconium-containing precursors and methods of using the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101405256B1 (ko) * 2011-09-16 2014-06-10 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함 변경
KR101629869B1 (ko) 2011-09-16 2016-06-13 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함의 변경
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101306007B1 (ko) * 2012-02-10 2013-09-12 한국화학연구원 Sb-Te계 열전박막의 제조방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2902420B1 (en) * 2014-01-29 2019-06-12 Arlanxeo Netherlands B.V. Metal complex with a cyclic amidine ligand
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102008445B1 (ko) * 2014-02-26 2019-08-08 주식회사 유진테크 머티리얼즈 지르코늄 함유막 형성용 전구체 조성물 및 이를 이용한 지르코늄 함유막 형성 방법
KR102251989B1 (ko) * 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US20210062331A1 (en) * 2019-08-26 2021-03-04 Entegris, Inc. Group vi metal deposition process
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024506566A (ja) * 2021-02-04 2024-02-14 ユーピー ケミカル カンパニー リミテッド ハフニウム前駆体化合物、それを含むハフニウム-含有膜形成用組成物、及び、ハフニウム-含有膜の形成方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
ATE499390T1 (de) 2004-06-22 2011-03-15 Dsm Ip Assets Bv Verfahren zur herstellung eines polymers
JP4980679B2 (ja) 2005-11-11 2012-07-18 東ソー株式会社 チタン錯体、それらの製造方法、チタン含有薄膜及びそれらの形成方法
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
US20090305504A1 (en) * 2006-07-21 2009-12-10 Ce Ma Single precursors for atomic layer deposition
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
EP2142682B1 (en) 2007-04-09 2014-12-03 President and Fellows of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
WO2008148499A1 (en) 2007-06-06 2008-12-11 Dsm Ip Assets B.V. Imine catalyst
US20100209610A1 (en) 2007-07-16 2010-08-19 Advanced Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017179857A1 (ko) * 2016-04-12 2017-10-19 (주)디엔에프 전이금속 화합물, 이의 제조방법 및 이를 포함하는 전이금속함유 박막증착용 조성물
US10913755B2 (en) 2016-04-12 2021-02-09 Dnf Co., Ltd. Transition metal compound, preparation method therefor, and composition for depositing transition metal-containing thin film, containing same
KR20190086254A (ko) * 2018-01-12 2019-07-22 삼성에스디아이 주식회사 유기 금속 화합물, 이를 이용한 박막의 제조 방법, 및 박막을 포함하는 반도체 소자
US20210351037A1 (en) * 2018-10-05 2021-11-11 Osram Opto Semiconductors Gmbh Method for producing a semiconductor component comprising performing a plasma treatment, and semiconductor component
US11915935B2 (en) * 2018-10-05 2024-02-27 Osram Opto Semiconductors Gmbh Method for producing a semiconductor component comprising performing a plasma treatment, and semiconductor component
KR20210155744A (ko) * 2020-06-16 2021-12-23 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법

Also Published As

Publication number Publication date
WO2011007323A1 (en) 2011-01-20
US20120175751A1 (en) 2012-07-12
US8546276B2 (en) 2013-10-01
JP2012533680A (ja) 2012-12-27

Similar Documents

Publication Publication Date Title
US8546276B2 (en) Deposition of group IV metal-containing films at high temperature
KR101584390B1 (ko) 전이 금속 함유 필름의 침착을 위한 헤테로렙틱 시클로펜타디에닐 전이 금속 전구체
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
US10309010B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US9034761B2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
EP2734533B1 (en) Heteroleptic pyrrolecarbaldimine precursors
US20170018425A1 (en) Heteroleptic diazadienyl group 4 transition metal-containing compounds for vapor deposition of group 4 transition metal-containing films
KR20140005164A (ko) 망간 함유 필름의 증착을 위한 비스-피롤-2-알디미네이트 망간 전구체
US20100119406A1 (en) Allyl-containing precursors for the deposition of metal-containing films
KR20150113114A (ko) 코발트-함유 화합물, 이의 합성, 및 코발트-함유 필름 침착에서의 용도
US20100256405A1 (en) Synthesis of allyl-containing precursors for the deposition of metal-containing films

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid